Onto Innovation South East Asia

Singapore,  Singapore
https://ontoinnovation.com/
  • Booth: C1684

Welcome to Onto Innovation!

Overview

Your partner for innovative solutions that improve time to market, yield, and product reliability.

Onto Innovation stands alone in process control with our unique perspective across the semiconductor value chain. We enable our customers to solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation will optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports its customers with a worldwide sales and service organization.

We combine the scale of a global leader with an expanded portfolio of leading-edge technologies that include: unpatterned wafer quality; 3D metrology spanning the chip from nanometer-scale transistors to micron-level die-interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. The breadth of this portfolio allows us to collaborate with customers about their process yields and process variations from bare silicon wafers through the wafer fab to the final back-end packaging.
Onto Innovation’s software brings understanding of how individual processes affect the overall product, enabling customers to improve product quality and reliability.  


  Products

  • Dragonfly G3 System
    Combining 2D and 3D technologies to detect yield-robbing defects and measure features critical for today's front-end and packaging technologies, the Dragonfly G3 system will reset the industry's expectations for throughput, accuracy and reliability....

  • Product Overview

    Unique 2D imaging technology provides fast, reliable inspection for sub-micron defects to meet today's R&D needs and tomorrow's production demands. Onto Innovation's patented Truebump® Technology combines multiple 3D metrology techniques to deliver accurate 100% bump height metrology and coplanarity. This new technology is the foundation of Onto Innovation’s products designed to offer fast throughput, increased brightfield and darkfield sensitivity and solves site challenges related to large package inspection.

    The Dragonfly G3 system offers Clearfind® Technology for non-visual residue detection. For specialty markets, such as CMOS image sensors (CIS), the Dragonfly System uses a combination of oblique angle illumination with sophisticated image processing and a machine-learning algorithm to detect low contrast defects in the active pixel sensor area.

    The Dragonfly G3 system is tightly integrated with control and analytical software for real-time analysis and review, IR defect inspection and review, while also providing offline review options. When massive amounts of bump data are generated during inspection, users now have the tools to visualize data, correlate process variations and improve yields through exploratory data analysis down to the bump level.


    Dragonfly System
    Dragonfly System

    Applications

    • Micro bumps
    • After develop and etch
    • Large die, multi-chip packages
    • Reconstituted wafers
    • Redistribution layers (RDL)
    • OQA and post saw
    • CMOS image sensor (CIS)
    • Gel and waffle pack inspection
    • Multi-product, multi-grid
    • MEMS
    • Post Probe

    Specifications

    • Inline real-time focusing
    • Flexible platform to allow for metrology sensor integration
    • IR defect inspection and review
    • Large die and package support (>6400mm2)
    • Substrate support: 100mm - 330mm wafers
    • Substrate handling: wafer, frame, warped, thin, waffle pack, porous
    • High warped wafer and Taiko handling options

    • Waferless recipe creation using automated alignment and CAD import
    • Native tool matching and recipe sharing with common recipe server
    • Rule based binning and classification for immediate die disposition
    • Online and offline review capability
    • Integrated backside and edge inspection (option)
    • AI ADC and yield management software (option)

  • Atlas Series
    The Atlas thin film and OCD series is the metrology tool for leading-edge FinFET, gate-all-around (GAA) FET, 3D NAND, and advanced DRAM device manufacturing.

    ...

  • Atlas XP+ System

    The Atlas XP+ system offers a single platform for both thin film and OCD measurements for 200mm wafer metrology. The system incorporates a dual-arm robot, high-precision stage and high-speed focus system. The system also features advanced pattern recognition, improved thickness reproducibility and superior SR and SE throughput. The N2000™ software interface and advanced automation are compliant with standards adopted by SEMI and other organizations. The NanoNet® feature, a network component of the N2000 Analysis Platform software provides system-to-system matching and seamless recipe transferability.


    Atlas XP+ System
    Atlas XP+ System

    Applications

    • Gen5 to Gen8 3D-NAND
    • DRAM: 1z – 1X nm
    • Logic and Foundry: 3nm, GAA and beyond
  • Echo System
    Picosecond ultrasonic technology, or PULSE™ technology, is the industry standard for metal film metrology....

  • The Echo system is a comprehensive in-line metal film metrology tool for single and multi-layer metal film measurements in leading-edge logic, memory, advanced packaging, and specialty semiconductor devices. The innovative optics design extends the dynamic range for film thickness measurement from 50Å to 35µm on a single platform and offers extendibility to measure high aspect ratio advanced 3D NAND structures. Expert Applications System (EASy™) software provides flexibility for development of user-defined algorithms for modeling complex multi-layer stacks. The Echo system also expands the materials characterization capability of the PULSE technology systems. In addition to Young’s Modulus of low-k dielectric films in BEOL and amorphous carbon hard masks in 3D NAND, the Echo system includes updated electronics and algorithms for implant monitor and thermal conductivity characterization. The small spot size combined with rapid measurements enables full wafer mapping capabilities to 0.5mm edge exclusion, improving information turns and quality of information during process development and optimization.  


    Applications

    • Gate metals (W, WSi, Ru)
    • Plug & Contact (W, WN, NiPt, Co)
    • Barrier (Ti, TiN, Ta, TaN)
    • Cu damascene metallization (seed, EP, CMP)
    • Top metal (Al, TiN/Al)
    • RF electrode/IDT (Mo, AlN, Pt)
    • UBM, RDL (Au, Ni, TiW)

    • Magnetic head stacks (NiFe, CoFe, NiCr, Cr, Ru, Au)
    • 3D NAND Hard mask (amorphous carbon)
    • MEMS (thick poly, Ge)
    • Modulus measurements of low-k, ultra low-k films
    • Implant
    • Thermal conductivity 

    Specifications

    • In-line opto-acoustic measurements using femtosecond ultrafast laser
    • Small spot size (8x10µm) enables measurements in a 15µm site size
    • Typical thickness of metal films from 50Å to 35µm
    • High throughput up to 60wph
    • Intrinsic cross-fab fleet matching 

    • Automation options capable of handling 150, 200, and 300mm wafers
    • EASy advanced modeling algorithms
    • Central Recipe Manager for maintaining fleet security and control
    • Discover® software interpolation, reporting and SPC process control
  • JetStep X500 System
    JetStep X500 system is designed to provide AICS and OSAT manufacturers with a lithography solution capable of high volume manufacturing....

  • The JetStep X500 panel lithography system is optimized for volume manufacturing of high-end AICS and advanced packaging panels. The system incorporates a large field exposure system with advanced features to meet the challenging requirements encountered in production of AICS or panel level packaging, such as; fine resolution to 3µm with large depth of focus (DOF), high overlay accuracy of |Mean| + 3 sigma <1.5µm, automatic magnification compensation with independent x and y magnification adjustment of ±100 ppm, and automatic handling of panel substrates of various dimensions, thicknesses, and levels of warp. The JetStep X500 system incorporates the largest available exposure field with resolution capability to 3µm L/S and options for increased resolution to 1µm L/S at smaller field sizes.

    Applications

    • AICS
    • Fan-out panel level packaging (FOPLP)
    • Large die formats exposed without stitching
    • Through silicon via (TSV)
    • Interposers
    • Redistribution lines (RDL) / underbump metallization (UBM)
    • Exposure on CCL, FR4, composite, glass or other substrates

    Specifications

    • 3µm L/S resolution with a high-fidelity projection lens and illumination system that delivers a large process window
    • 250mm x 250mm square exposure field 
    • ±1µm overlay capability
    • ±100 ppm magnification compensation (automatic) with independent x, y magnification adjustment (Anamorphic Magnification Adjustment)
    • ±400 ppm radial magnification compensation (automatic)
    • Automatic compensation of die shift for superior registration to zero layer
    • 6 inch square reticle format enables cost efficient reticle and lower COO

    • 6 degrees of freedom reticle chuck with automated magnification adjustment for precise layer to layer registration
    • Automated reticle handling and storage system with fast reticle exchange to maximize throughput
    • Fully programmable and flexible pattern recognition alignment system
    • Real time “on the fly” autofocus at every exposure site to automatically adjust focus over panel topology
    • Environmental management system to mitigate fab contamination
    • Handling: laminated substrates (typically 500(510) x 500(515) mm2) square and rectangle, silicon, glass, other composite materials
  • NovusEdge System
    The NovusEdge System includes modular configurations for fast, reliable inspection of the edge, notch and backside of the wafer....

  • The NovusEdge System provides high sensitivity inspection for the edge and backside of bare unpatterned wafers for current and advanced nodes. Multiple modules can be configured on the same automation platform for increased throughput while maintaining a small footprint for an improved cost of ownership. The edge-gripping handling solution for both automation platform and the inspection modules provides desired cleanliness required for wafer manufacturing. Optional high-sensitivity notch inspection can be added to the configuration. Defects detected are automatically classified and binned at run time to reduce manual operator review.

    The system is designed as a multipurpose inspection and sorting system for end of line outgoing quality inspection of 300mm unpatterned wafers. The system allows for identifying, inspecting, and sorting the wafers according to freely definable recipes.


    NovusEdge System
    NovusEdge System

    Applications

    • In-process inspection of unpatterned wafers at the wafer manufacturing site.
    • Incoming inspection of unpatterned wafers at outsource subassembly and test providers, integrated device manufacturers, and foundries.
    • Process tool qualification and monitoring in conjunction with test wafers.

    Specifications

    • Submicron edge sensitivity
    • Backside sensitivity down to nanometer levels
    • Full coverage notch inspection
    • Haze
    • Sorting capability
    • Flexible tool configuration
    • Automatic defect classification with customer definable defect classes
  • Enterprise Software
    The Digital Transformation Waits for No One...

  • In the race to digitize semiconductor manufacturing operations, Onto Innovation’s productivity software delivers the competitive advantage you need to capture market share. Our software connects information on a tool, within a factory, or across an entire global supply chain to capitalize on the potential of your biggest asset: your data.

    With feature-rich applications, artificial intelligence, and scalable data solutions, our holistic approach weaves together all forms of material, equipment, and process information to enable fully automated, semi-automated, or “on-the-spot” data analysis. In the pursuit of industry 4.0, seize your edge with the only complete solution for process control and analysis.

For Technical Support with this webpage, please contact support.