What’s in the April/May Issue?

Each issue of Semiconductor Digest has articles found only in the magazine. Click on the links to read the articles in the April/May issue.

Viking Technology Introduces Industry’s Highest Density 16GB DDR4 Multi-Chip Package

Viking Technology, a division of Sanmina Corporation, announces their newly released 16GB DDR4 Multi-Chip Package (MCP).

European Chips Skills Academy Launches Summer School in Italy to Promote Microelectronics Industry Careers

University students will connect with professors to explore semiconductor learning paths and employment opportunities at the European Chip Skills Academy Summer School, August 18-23, 2024 in Bologna, Italy.

What’s in the April/May Issue?

Each issue of Semiconductor Digest has articles found only in the magazine. Click on the links to read the articles in the April/May issue.

RISC-V Adoption Will Be Accelerated by AI, According to New Omdia Research

RISC-V processors will account for almost a quarter of the global market by 2030, according to new research by Omdia.

RS Offers Banner Engineering’s Extensive Portfolio of Sensor Products for Industrial Automation Applications

The comprehensive RS selection of Banner sensors includes an array of sensing technologies — including photoelectric, laser, and radar — and can help improve the accuracy, productivity, uptime, and efficiency of almost any industrial automation application.

Next-Generation Sustainable Electronics Are Doped With Air

Semiconductors are the foundation of all modern electronics. Now, researchers at Linköping University, Sweden, have developed a new method where organic semiconductors can become more conductive with the help of air as a dopant.

Honda and IBM Sign MOU to Explore Long-term Joint Research and Development of Semiconductor Chip and Software Technologies

Agreement outlines intent to research and develop solutions to new challenges related to processing performance, power consumption, and design complexity.

Pfeiffer Vacuum Supports Young Scientist Award for Accelerator Physics Conferred by the German Physical Society

Dr. Sebastian Keckert is awarded this year’s Young Scientist Award for Accelerator Physics by the German Physical Society (DPG).

SEMICON West 2024 to Spotlight U.S. Chip Industry Investments, Supply Chain Resilience, Talent, and Global Growth and Innovation

SEMICON West 2024 will gather industry experts and leaders July 9-11 at the Moscone Center in San Francisco for insights into the latest trends and innovations in sustainability, supply chain management, workforce development and other critical industry issues.

Magnachip Celebrates the Grand Opening of Magnachip Technology Company in China

Magnachip Semiconductor Corporation celebrated the opening ceremony of Magnachip Technology Company, Ltd. yesterday at its headquarters located in Hefei, China.

EV Group Highlights Hybrid Bonding, Maskless Lithography and Layer Transfer Solutions for Heterogeneous Integration at ECTC 2024

Papers to highlight breakthrough capabilities of EVG’s hybrid bonding, LITHOSCALE maskless lithography and IR layer release technology for advanced packaging applications.

Guo Aims at Fundamental Understanding of Emerging Semiconductor Material

NSF provides early career award to support chemist’s research and teaching.

New SEMI University Online Certification Programs to Fast-Track Semiconductor Skills Development

Aiming to help the global semiconductor industry address its talent gap by training and upskilling workers, SEMI today announced that its SEMI University learning platform now offers online course certification programs designed to fast-track semiconductor career development.

Chips Industry May Not Be Fit For Purpose By 2030, Says GlobalData

Next-generation chips represent the next frontier of semiconductor technology, incorporating advancements in design, materials, manufacturing process, performance, and packaging. As computing tasks become more demanding and data-intensive, next-generation chips promise faster speeds and better energy efficiency.

POET Announces Design Win and Collaboration with Foxconn Interconnect Technology

POET and FIT have entered into a collaboration to develop 800G and 1.6T pluggable optical transceiver modules using POET optical engines with an aim to address the growth in demand from cutting-edge AI applications and high-speed data center networks.

Key Measures of Global Semiconductor Manufacturing Industry Strength Improve in Q1 2024, SEMI Reports

The global semiconductor manufacturing industry in the first quarter of 2024 showed signs of improvement with an uptick in electronic sales, stabilizing inventories and an increase in installed wafer fab capacity, SEMI announced today.

Porotech Selects ClassOne Solstice Single-Wafer Platform

ClassOne Technology and Porotech today announced that Porotech has selected the ClassOne Solstice single-wafer platform for the development and manufacture of GaN products for applications requiring silicon wafer substrates.

NEO Semiconductor Reveals a Performance Boosting Floating Body Cell Mechanism for 3D X-DRAM

NEO Semiconductor, a developer of innovative technologies for 3D NAND flash and DRAM memory, today announced a performance boosting Floating Body Cell Mechanism for 3D X-DRAM.

Polar Semiconductor Announces Plans to Expand Semiconductor Manufacturing Facility in Minnesota

Polar Semiconductor, the only U.S.-based manufacturer specializing in sensor, power, and high-voltage semiconductors, today announced plans to expand its Bloomington, Minnesota manufacturing facility and branch into innovative technologies to serve new customers and markets.

Semiconductor Manufacturing Equipment Market Size is Set to Grow By $46.68B From 2024-2028

The global semiconductor manufacturing equipment market size is estimated to grow by $46.68B from 2024-2028, according to Technavio.

Kevin O’Buckley to Lead Foundry Services at Intel

Intel Corporation today announced the appointment of Kevin O’Buckley as senior vice president and general manager of Foundry Services, the customer service and ecosystem operations division of Intel Foundry.

ASMC 2024 Opens With AI, Smart Manufacturing and Sustainability in Focus

The 35th annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2024) opens today to focus on critical topics ranging from yield management and metrology to new developments in artificial intelligence, smart manufacturing and sustainability.

SAPEON Enhances AI Accelerator with proteanTecs Reliability and Performance Monitoring

proteanTecs announced today that SAPEON, a global AI semiconductor company, has integrated proteanTecs lifecycle monitoring solutions in the company’s next-generation AI chip.

EFC Receives American Chemistry Council’s Sustainability Leadership Award

On May 9th, at its annual Responsible Care & Sustainability Conference, the American Chemistry Council (ACC) honored EFC Gases & Advanced Materials with a 2024 Sustainability Leadership Award, which recognizes exemplary products, technologies, and initiatives that advance sustainability.

Featured Video

Is your semiconductor plant seeking methods to enhance sustainability in wafer manufacturing and water reclamation? The semiconductor sector faces two challenges: waste reduction and water usage. The use of on-line water analytics can assist in overseeing water quality in both wafer manufacturing and reclamation/reuse procedures. This video underscores the significance of monitoring the levels of conductivity, TOC, and microbes to identify impurities that may lead to rejected wafers. Monitoring these parameters also ensures the purity of water to improve wafer quality and yield. Furthermore, this video emphasizes the importance of monitoring the levels of dissolved oxygen, TOC, and pH in waste streams to optimize water recovery.

Featured Products

EVENTS

May

202413mayAll Day16Advanced Semiconductor Manufacturing Conference — ASMC 2024Hilton Albany, 40 Lodge Street Featured

202414mayAll Day17Display Week 2024San Jose McEnery Convention Center, 408 Almaden Blvd Featured

202421mayAll Day22ITF World 2024Empowering tomorrow: 40 years of unrivaled nanotech collaborationFeatured

202428mayAll Day30SEMICON Southeast Asia 2024Malaysia International Trade & Exhibition Centre, Jalan Dutamas 2Featured

June

202412junAll Day143D & Systems SummitHeterogeneous Systems for the Intelligently Connected EraHilton Dresden Hotel

202423jun(jun 23)4:25 pm27(jun 27)4:25 pmDesign Automation Conference — DAC 2024Moscone Center, 747 Howard Street

July

202409julAll Day11SEMICON West 2024Moscone Center, 747 Howard StreetFeatured

202409julAll Day11FLEX Conference & ExhibitionMoscone Center, 747 Howard StreetFeatured