SENTECH Instruments GmbH

Berlin, 
Germany
http://www.sentech.com
  • Booth: 2157

SENTECH welcomes all visitors at booth no. 2131

SENTECH – clusters for MEMS and Nanotechnology

SENTECH equipment for plasma processing is combined to cluster solutions for R&D and production. Etching (ICP-RIE, RIE and ashing) and deposition processes (ICPECVD, PECVD, PEALD and ALD) can be stringed to together for applications in semiconductor, sensor, and nano technology, fully automated. Based on PTSA-ICP plasma source, the systems offer low damage, high rate, and low temperature processing for the manufacturing of quantum devices, semiconductor lasers and diodes, very high frequency devices, refractive and diffractive micro optics, and micro electromechanical devices.

SENTECH develops, manufactures, and globally sells innovative capital equipment focused on deposition, structuring and characterization of thin films in semiconductor technology, microsystems, photovoltaics, nanotechnology and materials research.  SENTECH provides innovative solutions for non-contact, non-invasive optical quality control and characterization using ellipsometry and reflectometry. SENTECH supports Chinese customers with SENTECH China Sales Office.

For Technical Support with this webpage, please contact support.