FRT GmbH

Friedrich Ebert Straße 75
Bergisch Gladbach,  D-51429

Germany
https://www.formfactor.com/products/metrology/
  • Booth: B1417


FormFactor FRT Metrology welcomes you!

FormFactor FRT Metrology offers a comprehensive range of fully automated, SEMI-compliant optical surface measuring systems. SurfaceSens technology has been designed to achieve superior information about the measured sample and greater insights about the products quality. The flexible sensor set-up facilitates versatile results about several surface parameters, such as topography, roughness, TTV bow and warp, flatness, coplanarity, sample and layer thickness, and many others. More than 500 reputable international companies from the semiconductor, MEMS, optics, photovoltaic and other industries equip their R&D and high-volume production with FRT Metrology systems. FRT Metrology operates from Bergisch Gladbach, Germany and is part of FormFactor since October 2019.


 Products

  • MicroProf® AP
    The FRT MicroProf® AP is a fully automated wafer metrology tool for a wide range of applications at different 3D packaging process steps, e.g. for the measurement of photoresist (PR) coatings and structuring through silicon vias (TSVs)....

  • The FRT MicroProf AP also provides comprehensive measurement solutions for backside processing (backgrinding, metallization) for power semiconductors such as MOSFET or IGBT, as well as for the control of different substrates, e.g. bulk Si, SOI, cavity SOI, compounds such as GaAs, InP, SiC, GaN, ZnO, and also for transparent materials. Furthermore, it can be used for hybrid bonding and Micro Electro Mechanical Systems (MEMS), included in consumer electronics, automotive, telecom, medical and industrial markets. With its modular multi-sensor concept, the flexible MicroProf AP measuring tool is ideally suited to perform a variety of measuring tasks in advanced packaging.

    Learn more here.

  • MicroProf® FS
    The FRT MicroProf® FS is a fully automated wafer metrology tool, configurable for a wide range of applications in the wafer foundry, using both standard and customized solutions....

  • Flexibility and versatility are keywords when it comes to metrology solutions for today’s silicon foundry applications. MicroProf FS provides a modular approach to create a fully automated multi-sensor tool that can solve all required measurement tasks. That’s why we call it the Foundry Star!

    For its core metrology component, the proven FRT MicroProf 300 multi-sensor metrology tool is used to allow the measurement of different products and – by using a hybrid metrology concept – enhances the precision of measurements on samples where a single sensor or measuring principle is just not enough. The measurement system of the MicroProf FS is equipped with a granite base setup, with a three-point sample fixture or a vacuum chuck.

    Learn more here.

  • MicroProf® FE
    The FRT MicroProf® FE is FormFactor’s standard, fully automated 2D/3D wafer metrology tool. It combines the capabilities of the established MicroProf 300 with a wafer handling system within an Equipment Front End Module (EFEM)....

  • With fully SEMI-compliant metrology solutions and almost maintenance-free hardware components, providing high throughput inspection, the MicroProf FE is the metrology solution in any front end HVM fab.

    Besides the standard configuration, the FRT MicroProf FE can be equipped with numerous additional features, which can also be retrofit later.

    Learn more here.

  • MicroProf® MHU
    The FRT MicroProf® MHU metrology tool with Material Handling Unit, is specially designed for the semiconductor, MEMS, sapphire, and LED industries. Typical applications are measurements of bare and coated....

  • The option for two-sided sample measurement allows the simultaneous measurement of the top and bottom surface with determination of the sample thickness, total thickness variation (TTV) and various surface parameters such as roughness, waviness and flatness of both sides. A complete wafer shape measurement is also possible with analysis of the global and local wafer parameters. A wafer sorting function is available, which is adjustable according to customer requirements. Based on SurfaceSens technology, additional sensors can be retrofitted later. A further application of the MicroProf MHU is the layer thickness determination of thin films, as well as layer stacks, measurement of step heights, bumps, vias (TSVs), trenches, etc.

    Learn more here.

  • MicroProf® DI
    The FRT MicroProf DI optical inspection tool, enables inspection of structured and unstructured wafers during the entire manufacturing process....

  • The MicroProf DI includes several modules that can be flexibly combined on the same tool platform, covering all wafer surfaces at high throughput for efficient process control. The modules include optical inspection and classification of defects via single-shot and step camera module, review of defects via a high-precision microscope and comprehensive multi-sensor metrology with different topography and layer thickness sensors. For the optical, non-contact and non-destructive analysis of hidden structures and inclusions in the wafer, interferometric layer thickness sensors with infrared light source and an IR microscope are also available.

    Learn more here.

  • SurfaceSens
    FormFactor's FRT Metrology engineers designed SurfaceSens technology to achieve superior information about the measured sample and greater insights about product quality....

  • All of our FRT MicroProf® metrology tools can be configured with complementary sensor technologies. In a hybrid analysis process, otherwise inaccessible surface data of wafers or other samples are precisely measured.

    SurfaceSens offers you the possibility to combine unique metrology and inspection measuring principles in one single tool and thus allows maximum flexibility. The set-up, even for top and bottom sample measurement, with various optical sensors, like point, line and field of view sensors facilitates versatile results about several surface parameters, such as topography, roughness, TTV bow and warp, flatness, coplanarity, sample and layer thickness, and many others.

    Learn more here.


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".