ACM Research Inc.

42307 Osgood Rd.
Unit 1
Fremont,  CA  94539

United States
https://www.acmrcsh.com/
  • Booth: B1170


Semiconductor equipoment for IC manufacturing, WLP and SiC

ACM Research, Inc. provides advanced processing technology, systems, and key manufacturing products targeted to a range of semiconductor IC manufacturing, wafer-level packaging and SiC manufacturing applications. The company specializes in developing cleaning technologies for advanced semiconductor device manufacturing.

To address increasing challenges in defect reduction that plagued emerging generations of IC chips, ACM Research developed its innovative single-wafer cleaning equipment, which features the company’s proprietary Space Alternated Phase Shift (SAPS™) and Timely Energized Bubble Oscillation (TEBO™) megasonic cleaning technologies. 

Product Lines

IC

Advanced WLP

  • Scrubber Systems
  • Coating Systems
  • Developer Tools
  • Plating Tools
  • Wet Stripping Tools
  • Wet Etching Tools
  • Stress-free Polishing Systems

Compound Semiconductor

  • SPM Cleaning Systems
  • Plating/Deposition Systems
  • Wet Etch Systems
  • Photoresist Removal/Metal Lift-off Systems
  • Post-CMP Cleaning Systems


 Press Releases

  • Ultra Fn A Furnace Tool Shipped to China-Based Foundry Customer

    FREMONT, Calif., Sept. 27, 2022 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced that it has expanded its 300mm Ultra Fn furnace dry processing platform with the introduction of its Ultra Fn A furnace tool. The Ultra Fn A system adds thermal atomic layer deposition (ALD) to ACM’s extensive list of supported furnace applications. The company also announced that it has shipped the first Ultra Fn A furnace tool to a top-tier China-based foundry manufacturer. The product is expected to be qualified in 2023.

    “As logic nodes continue to shrink, customers are increasingly looking for suppliers that are willing to collaborate to meet their advanced process requirements, like ALD,” said David Wang, CEO and president of ACM. “ALD is one of the fastest growing applications for manufacturing at advanced nodes, making it a critical new capability for our furnace portfolio. ACM’s deep understanding of the entire semiconductor manufacturing process and our innovative capabilities allow us to quickly develop new applications – wet and dry – to meet emerging market requirements. Our new ALD tool builds on our extensive furnace platform, which also includes support for atmospheric, low-pressure and ultra-high vacuum furnace options.”

    About ACM’s Ultra Fn A Furnace Tool
    ACM’s new thermal ALD tool deposits both silicon nitride (SiN) and silicon carbide nitride (SiCN) films. The initial Ultra Fn A tool is expected to be used to manufacture the side wall spacer layer in a 28nm logic manufacturing flow, a process which demands a very low etch rate and good step coverage. ACM’s Ultra Fn A furnace tool with proprietary technology has achieved an improvement in uniformity in simulations as compared with competitive approaches.

    ACM’s Ultra Fn A tool builds on the success of ACM’s Ultra Fn furnace platform, which meets the dry processing challenges of LPCVD, oxidation, ultra-high vacuum anneal for alloy, high temperature and other common furnace processes. The Ultra Fn A furnace tool was designed from the ground-up to meet best-in-class requirements for high-throughput batch ALD processing. It can be easily customized with minor component and layout changes, which contributed to accelerated development of new types of ALD processes. Its innovative design also combines ACM’s proven software technology with new hardware that improves durability and reliability, as well as ACM’s proprietary process-control IP to provide rapid, stable process control.

    Learn more about the Ultra Fn Furnace portfolio and supported applications.

    About ACM Research, Inc.
    ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and thermal processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

    © ACM Research, Inc. The ACM Research logo is a trademark of ACM Research, Inc. For convenience, this trademark appears in this press release without a ™ symbol, but that practice does not mean that ACM will not assert, to the fullest extent under applicable law, its rights to such trademark.

  • Company leverages its extensive experience in single wet wafer cleans to enter post-CMP cleaning market

    FREMONT, Calif., July 12, 2022 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced the introduction of its new post-CMP cleaning tool. This is ACM’s first tool of its kind, serving as a cleaning step following the chemical mechanical planarization (CMP) process used to manufacture high quality substrates. It is available in 6- and 8- inch configurations for silicon carbide (SiC), and 8- and 12- inch configurations for silicon wafer manufacturing. The tool is available in wet-in dry-out (WIDO) and dry-in dry out (DIDO) configurations, with 2-, 4- and 6-chamber options to achieve maximum throughput of up to 60 wafers per hour (WPH).

    “The global equipment supply chain continues to experience extended delivery times,” said Dr. David Wang, ACM’s President and Chief Executive Officer. “This has created an opportunity for ACM to leverage its extensive experience in semiconductor cleaning process technology to enter the post CMP cleaning market, further expanding its cleaning portfolio. The post-CMP cleaning tool will allow ACM to help alleviate shortages facing our customers through delivery of our stable, reliable and cost-effective solution with shorter-than-average lead times.”

    Following the CMP step, a physical pre-clean process is needed to reduce the number of particles, using dilute chemicals at low temperatures. ACM’s post-CMP cleaning tool is available in multiple configurations, including advanced cleaning technologies with ACM’s Smart Megasonix.

    The new WIDO online pre-clean tool attaches directly to an existing CMP tool. Wafers are automatically transferred into two brush chambers, where the front-side, back-side and bevel edge are processed concurrently with chemical and cold deionized water (CDIW). Wafers are then moved to either two or four clean chambers and are processed with multiple chemicals and CDIW. A nitrogen (N2) dry and spin completes the process, which achieves a particle performance of <15 particles at or above 37nm and 20-25 particles greater than 28nm, with metallic contamination of ≦ 1E+8 (atoms/cm2) . The WIDO pre-clean tool offers a throughput of up to 35 WPH when using the four-chamber tool.

    The new DIDO pre-clean tool is a stand-alone tool with four loadports and a smaller footprint than the WIDO pre-clean tool, intended for customers whose CMP platforms have a built-in cleaning chamber such that wafers come out of the tool dry. In this configuration, wafers are manually transferred to the pre-clean tool via the loadport, then processed identically to those in the WIDO pre-clean tool. The DIDO pre-clean tool is available in four- or six-chamber configurations, with two brush and two cleaning chambers or two brush and four cleaning chambers. The DIDO pre-clean tool achieves the same metallic contamination results as the WIDO pre-clean tool while delivering throughput up to 60 WPH when using the six-chamber tool.

    A third available configuration is a WIDO offline pre-clean tool for use where fab floor space is at a premium. When using this tool, wet wafers are transferred from the CMP tool to a DIW bath, and then manually moved to the WIDO offline pre-clean tool, which uses the same cleaning process with the same particle performance achieved and throughput of up to 60 WPH.

    About ACM Research, Inc.
    ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and vertical furnace processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

    © ACM Research, Inc. Smart Megasonix and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, this trademark appears in this press release without a ™ symbol, but that practice does not mean ACM will not assert, to the fullest extent under applicable law, its rights to such trademark.

  • 10 ECP ap tools purchased by top-tier Chinese OSAT to support wafer-level packaging applications

    FREMONT, Calif., May 06, 2022 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced that a volume purchase contract has been received from a leading Chinese OSAT for 10 Ultra ECP ap high-speed plating tools, which are scheduled to be delivered later in 2022 and 2023. The Ultra ECP ap system with new high-speed plating technology has been previously qualified by multiple OSAT customers for advanced WLP applications. The new purchase orders, which build on orders announced in February 2022 for 21 ECP tools from a top-tier Chinese foundry and multiple advanced packaging houses, demonstrate the increased market traction for ACM’s ECP technologies for both advanced packaging and front-end customers.

    “A wide range of applications, such as 5G cellular phones and autonomous vehicles, are increasingly demanding high-performance microprocessors to meet emerging demands for novel WLP structures,” said Dr. David Wang, ACM’s President and Chief Executive Officer. “This is driving strong demand for our ECP ap high-speed plating systems, with proven performance that has resulted in multiple orders this calendar year. This new contract for 10 tools from a leading Chinese OSAT demonstrates customer confidence and satisfaction in our high-speed plating technology and further increases our share in this rapidly growing advanced packaging market.”

    ACM’s Ultra ECP ap plating tool supports copper (Cu) pillar bumping for Cu, nickel (Ni) and tin-silver (SnAg) plating, as well as high-density fan-out (HDFO) WLP product with warpage wafers for Cu, Ni, SnAg and gold plating. Its high-speed plating technology with proprietary paddle design provides stronger mass transfer during the plating process, coating all pillars on the entire wafer concurrently at the same plating rate. This provides improved uniformity below 3% within wafer and within die during high-speed plating. It also offers better coplanarity performance and higher throughput. The single-wafer, flat-type plating design eliminates cross-contamination between chemical baths in vertical-type plating design.

    About ACM Research, Inc.
    ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and vertical furnace processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

    © ACM Research, Inc. The ACM Research logo is a trademark of ACM Research, Inc. For convenience, this trademark appears in this press release without a ™ symbol, but that practice does not mean that ACM will not assert, to the fullest extent under applicable law, its rights to such trademark.

  • FREMONT, Calif., Nov. 11, 2022 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced that it has expanded its Ultra C pr product offering to include metal lift-off (MLO) capabilities for power semiconductor manufacturing and wafer level packaging (WLP) applications. MLO can be used to save an etch process step, reducing cost, optimizing cycle times and sharply reducing chemical demand at high temperatures. The company also announced that the first MLO-capable Ultra C pr tool has been qualified and released to mass production at a power semiconductor manufacturer in China.

    “ACM is committed to strengthen its position as a multi-product company, and we continue to extend our product offering to new opportunities beyond cleaning,” said Dr. David Wang, ACM’s President and Chief Executive Officer. “Our Ultra C pr tool has already achieved broad customer adoption due to its photoresist stripping capabilities. With MLO, our Ultra C pr tool now supports the lifting of metals off the photoresist, and the removal of any excess metals or residuals. We are excited with the successful qualification of ACM’s first Ultra pr tool with MLO capabilities, as an initial validation of the technology in a production environment.”

    ACM addresses the complexities of the MLO application by leveraging the Ultra C pr’s unique combination of wet bench and single wafer manufacturing technology to deliver the high throughput of a batch tool as well as the superior removal performance of a single-chamber tool. It also features a dual filter system ensure optimal cleanliness during manufacturing. In addition, ACM SAPS megasonic technology can be configured for MLO to enhance cleaning performance for patterned or structured wafers.

    About ACM Research, Inc.
    ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and vertical furnace processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

    Forward-Looking Statements
    Information presented in this press release includes forward-looking statements for purposes of the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. All statements contained in this press release that do not relate to matters of historical fact should be considered forward-looking statements, including statements in the first, second, fifth and eighth paragraphs with respect to the preliminary expected revenue ranges of ACM Shanghai for the three and nine months ended September 30, 2022, backlog information for ACM Shanghai as of September 30, 2022 and the potential impacts of the new regulations of the U.S. Department of Commerce. The preliminary financial results and backlog information included in this press release are unaudited and remain subject to review and adjustment. Forward-looking statements are based on ACM management’s current expectations and beliefs, and involve a number of risks and uncertainties that are difficult to predict and that could cause actual results to differ materially from those stated or implied by the forward-looking statements. Those risks and uncertainties include, but are not limited to, the following, any of which could be exacerbated even further by the continuing COVID-19 outbreak in China and globally: anticipated customer orders or identified market opportunities may not grow or develop as anticipated; customer orders already received may be postponed or canceled; ACM may be unable to obtain the qualification and acceptance of its delivered tools when anticipated or at all, which would delay or preclude ACM’s recognition of revenue from the sale of those tools; suppliers may not be able to meet ACM’s demands on a timely basis; ACM’s technologies and tools may not gain market acceptance; ACM may be unable to compete effectively by, among other things, enhancing its existing tools, adding additional production capacity and engaging additional major customers; ACM may incur significant expenses long before it can recognize revenue from new products, if at all, due to the costs and length of research, development, manufacturing and customer evaluation process cycles; amounts included in backlog may not ultimately result in revenue; volatile global economic, market, industry and other conditions could result in sharply lower demand for products containing semiconductors and for ACM’s products and in disruption of capital and credit markets; ACM’s failure to successfully manage its operations, including its inability to hire, train, integrate and manage additional qualified engineers for research and development activities; and trade regulations, currency fluctuations, political instability and war may materially adversely affect ACM due to its substantial non-U.S. customer and supplier base and its substantial non-U.S. manufacturing operations. A further description of these risks, uncertainties and other matters can be found in filings ACM makes with the U.S. Securities and Exchange Commission. Because forward-looking statements involve risks and uncertainties, actual results and events may differ materially from results and events currently expected by ACM. ACM undertakes no obligation to publicly update these forward-looking statements to reflect events or circumstances that occur after the date hereof or to reflect any change in its expectations with regard to these forward-looking statements or the occurrence of unanticipated events.

    © ACM Research, Inc. Smart Megasonix and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, this trademark appears in this press release without a ™ symbol, but that practice does not mean ACM will not assert, to the fullest extent under applicable law, its rights to such trademark.

  • Das erste beim Leistungshalbleiterhersteller in China qualifizierte System

    FREMONT, Kalifornien, 11. November 2022: ACM Research, Inc.(ACM) (NASDAQ: ACMR), ein führender Anbieter von Wafer-Processing-Lösungen für Halbleiter- und Advanced Wafer-Level-Packaging (WLP)-Anwendungen, gab heute bekannt, dass es sein Ultra C pr-Produktangebot um Metal-Lift-Off (MLO)-Fähigkeiten für die Leistungshalbleiterherstellung und für Wafer-Level-Packaging (WLP)-Anwendungen erweitert hat. MLO kann verwendet werden, um einen Ätzprozessschritt zu sparen, Kosten zu senken, Zykluszeiten zu optimieren und den chemischen Bedarf bei hohen Temperaturen stark zu reduzieren.  Das Unternehmen gab außerdem bekannt, dass das erste MLO-fähige Ultra C pr-Tool bei einem Leistungshalbleiterhersteller in China qualifiziert und für die Massenproduktion  freigegeben wurde.

    „ACM fühlt sich verpflichtet, seine Position als Mehrproduktunternehmen zu stärken, und wir fahren fort, unser Produktangebot weiterhin um Neuheiten, die über die Reinigung hinausgehen, zu erweitern“, sagte Dr. David Wang, Präsident und Chief Executive Officer von ACM. „Unser Ultra C pr-Tool hat aufgrund seiner Fotolackentfernungsfunktionen bereits eine breite Kundenakzeptanz erzielt. Mit MLO unterstützt unser Ultra C pr jetzt das lösen von Metallen vom Fotolack sowie das Entfernen von überschüssigen Metallen oder Rückständen. Wir freuen uns über die erfolgreiche Qualifizierung des ersten Ultra pr-Tools von ACM mit MLO-Funktionen als erste Validierung dieser Technologie in einer Produktionsumgebung."

    ACM bewältigt die Komplexität der MLO-Anwendung durch Nutzung der einzigartigen Kombination aus Nassbank- und Einzelwafer-Herstellungstechnologie des Ultra C pr, um den hohen Durchsatz eines Batch-Tools sowie die überlegene Partikel entfernen Performanz eines Einzelwafer-Tools zu erzielen. Es verfügt auch über ein Doppelfiltersystem zur Gewährleistung optimaler Sauberkeit bei der Herstellung. Darüber hinaus kann die ACM SAPS-Megasonic-Technologie für MLO konfiguriert werden, um die Reinigungsleistung für strukturierte Wafer zu verbessern.

    Über ACM Research, Inc.
    ACM ⁠ entwickelt, produziert und vertreibt Halbleiterprozessanlagen für die Einzelwafer- oder Chargen-Nassreinigung, Galvanik, spannungsfreies Polieren und vertikale Ofenprozesse, die für eine fortschrittliche Halbleiterbauelementeherstellung und das Wafer-Level-Packaging von entscheidender Bedeutung sind. Das Unternehmen ist bestrebt, maßgeschneiderte, leistungsstarke und kosteneffiziente Prozesslösungen zu liefern, die Halbleiterhersteller in zahlreichen Fertigungsschritten einsetzen können, um Produktivität und Produktausbeute zu verbessern. Weitere Informationen finden Sie unter www.acmrcsh.com.

    Zukunftsgerichtete Aussagen

    Die in dieser Pressemitteilung dargestellten Informationen beinhalten zukunftsgerichtete Aussagen für die Zwecke der Safe-Harbor-Bestimmungen des Private Securities Litigation Reform Act von 1995. Alle Aussagen in dieser Pressemitteilung, die sich nicht auf historische Sachverhalte beziehen, sollten als zukunftsgerichtete Aussagen betrachtet werden, einschließlich Aussagen im ersten, zweiten, fünften und achten Absatz in Bezug auf die vorläufigen erwarteten Umsatzspannen von ACM Shanghai für die drei und neun Monate bis zum 30. September 2022, Rückstandsinformationen für ACM Shanghai zum 30. September 2022 und die potenziellen Auswirkungen der neuen Vorschriften des US-Handelsministeriums. Die in dieser Pressemitteilung enthaltenen vorläufigen Finanzergebnisse und Rückstandsinformationen sind ungeprüft und unterliegen der Überprüfung und Angleichung. Die zukunftsgerichteten Aussagen basieren auf den aktuellen Erwartungen und Überzeugungen des ACM-Managements und beinhalten eine Reihe von Risiken und Unsicherheiten, die schwer vorherzusagen sind und dazu führen könnten, dass die tatsächlichen Ergebnisse wesentlich von den in den zukunftsgerichteten Aussagen angegebenen oder implizierten abweichen. Zu diesen Risiken und Unsicherheiten gehören unter anderem die folgenden Risiken und Unsicherheiten, die durch den anhaltenden COVID-19-Ausbruch in China und weltweit noch verschärft werden könnten: erwartete Kundenaufträge oder identifizierte Marktchancen können nicht wie erwartet wachsen oder sich entwickeln; bereits eingegangene Kundenaufträge können verschoben oder storniert werden; ACM ist möglicherweise nicht in der Lage, die Qualifizierung und Akzeptanz seiner gelieferten Werkzeuge zu erlangen, wenn dies erwartet oder überhaupt möglich ist, was die Anerkennung der Einnahmen aus dem Verkauf dieser Anlagen durch ACM verzögern oder ausschließen würde; Lieferanten können möglicherweise nicht in der Lage sein, die Anforderungen von ACM rechtzeitig zu erfüllen; die Technologien und Anlagen von ACM könnten möglicherweise keine Marktakzeptanz erlangen; ACM kann möglicherweise nicht in der Lage sein, durch - unter anderem - Verbesserung seine vorhandenen Tools, Hinzufügung zusätzlicher Produktionskapazitäten oder Einbindung zusätzlicher Großkunden effektiv zu konkurrieren; aufgrund der Kosten und der Dauer der Forschungs-, Entwicklungs-, Herstellungs- und Kundenbewertungsprozesszyklen kann ACM erhebliche Kosten verursachen, lange bevor Einnahmen aus neuen Produkten erkennbar sind; Beträge, die im Auftragsbestand enthalten sind, können möglicherweise letztendlich nicht zu Einnahmen führen; volatile globale Wirtschafts-, Markt-, Industrie- und andere Bedingungen könnten zu einer stark niedrigeren Nachfrage nach Produkten, die Halbleiter enthalten, und nach Produkten von ACM führen und zu einer Störung der Kapital- und Kreditmärkte führen; ACMs kann es möglicherweise versäumen, seine Aktivitäten erfolgreich zu verwalten und/oder zusätzliche qualifizierte Ingenieure für Forschungs- und Entwicklungstätigkeiten einzustellen, auszubilden, zu integrieren und zu managen; und Handelsvorschriften, Währungsschwankungen, politische Instabilität und Krieg können ACM aufgrund seiner wesentlichen Kunden- und Lieferantenbasis sowie seiner wesentlichen Fertigungsbetriebe außerhalb der USA erheblich beeinträchtigen. Eine weitere Beschreibung dieser Risiken, Unsicherheiten und anderen Angelegenheiten finden Sie in den Unterlagen, die ACM bei der U.S. Securities and Exchange Commission einreicht. Da zukunftsgerichtete Aussagen Risiken und Unsicherheiten beinhalten, können tatsächliche Ergebnisse und Ereignisse wesentlich von den von ACM derzeit erwarteten Ergebnissen und Ereignissen abweichen. ACM verpflichtet sich nicht, diese zukunftsgerichteten Aussagen öffentlich zu aktualisieren, um Ereignisse oder Umstände widerzuspiegeln, die nach dem Datum dieser Veröffentlichung eintreten, oder um Änderungen seiner Erwartungen in Bezug auf diese zukunftsgerichteten Aussagen oder das Auftreten unerwarteter Ereignisse widerzuspiegeln.

    © ACM Research, Inc. Smart Megasonix und das ACM Research-Logo sind Marken von ACM Research, Inc. Der Einfachheit halber erscheinen diese Marken in dieser Pressemitteilung ohne ein ™-Symbol, aber diese Praxis impliziert nicht, dass ACM seine Rechte an diesen Marken nicht in vollem Umfang nach geltendem Recht geltend machen wird.


 Products

  • Ultra ECP GIII
    The Ultra ECP GIII plating tool supports interconnect metal and wafer level packaging (WLP) for compound semiconductors....

  • ACM’s electrochemical plating systems offer processing equipment for Cu, Ni,Sn/Ag and Au plating, as well as vacuum pre-wet and post-clean modules. The Ultra ECP GIII plating tool can support interconnect metal and wafer level packaging (WLP) for compound semiconductors, with product offerings for silicon carbide (SiC), galluium nitride (GaN) and gallium arsenide (GaAs). ACM’s high speed plating technology is also capable of plating gold (Au) into backside deep hole processes with better step coverage and  greater uniformity. The tool features a fully-automated platform to support high volume manufacturing that accommodates both flat and notched 6-inch wafers, and incorporates ACM’s proprietary second anode power and paddle technologies for optimal performance.

  • Bevel Etch Tool
    ACM’s Bevel Etch product supports a range of device types and process steps for 3D NAND etch, DRAM and advanced logic processes....

  • ACM's Bevel Etch Tool uses a wet etch method to remove various types of dielectric, metal and organic material films, as well as particulate contaminants on the wafer edge. This approach minimizes the impact of edge contamination for subsequent process steps and improves chip manufacturing yield. Wet etching avoids the arcing and silicon damage risk from the dry process, while also offering variable wafer bevel etch/cut accuracy of 1-7mm, uniformity of +/-0.1mm, controllable etch selectivity and lower chemical consumption for a lower total cost of ownership.
  • Ultra C Tahoe
    The Ultra C Tahoe system reduces the amount of sulfuric acid needed for the SPM process, lowering both chemical and post-process waste treatment costs....

  • The Ultra C Tahoe is ideal for removing particles from fine features. It outperforms both bench and single wafer approaches by integrating the advantages of both tools into one system, and it delivers high-performance cleaning with a minimum 80% reduction in sulfuric acid use compared with conventional single wafer SPM cleaning tools.

    It uses a two-step approach to optimize the advantages of wet bench and single wafer cleaning:

    • First, the wafers are run through a batch cleaning step in the wet bench module, processed by SPM, and then go through quick dump rinsing 
    • While still wet, the wafers are transferred to the single wafer module for further cleaning, using a standard RCA cleaning process or other process chemicals
  • Ultra C TEBO
    The ACM Timely Energized Bubble Oscillation (TEBO™) wafer cleaning series provides the industry’s most advanced solution for front-end IC manufacturing....

  • ACM's proprietary TEBO technology—part of Smart Megasonix™—uses an innovative megasonic cleaning technique. Combined with a special chamber/platform design, it enables an unprecedented level of damage-free wafer cleaning for current and future generations of advanced chips, including 3D patterned wafers and extremely small, high-aspect-ratio devices.

    Previous megasonic tools tended to cause damage to very small or fragile patterned wafer surfaces due to transit cavitation. However, ACM’s TEBO tools specifically address that issue and provide much more complete, thorough, wafer-wide cleaning—with little or no damage—for all types of advanced devices. TEBO technology has demonstrated high particle removal efficiency (PRE) on 1X nm patterned wafers, and it can be applied to even smaller process nodes and for 3D device structures such as FinFET and 3D NAND and vias with aspect ratios as high as 60:1.

  • Ultra C SAPS
    ACM’s Space Alternated Phase Shift (SAPS™) technology employs alternating phases of megasonic waves in the gap between a megasonic transducer and the wafer....

  • ACM currently offers three models of wafer cleaning systems based on our SAPS technology: The SAPS II, SAPS V and SAPS VI are single wafer, serial-processing tools that can be configured to customer specifications.

    These tools can be used to remove defects from wafer surfaces or interconnects and barrier metals in front-end chip fabrication or for recycling test wafers. Combining ACM’s advanced megasonic and chemical cleaning technologies, ACM's SAPS models remove defects more effectively and efficiently than conventional wafer cleaning processes, in addition to enhancing process flexibility and reducing chemical consumption.


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".