SENTECH Instruments GmbH

Schwarzschildstrasse 2
Berlin,  12489

Germany
http://www.sentech.de
  • Booth: C1237


Experts in Plasma Process Technology and Thin Film Metrology

SENTECH has over thirty years of experience developing and manufacturing plasma process technology systems and thin film metrology tools for research and development and industrial production worldwideOur robust systems focus on the etching, deposition, and characterisation of thin films in semiconductor technology, microsystems technology, photovoltaics, nanotechnology, and materials research.


 Products

  • SENTECH ICP-RIE Plasma Etch System - SI 500
    The SENTECH SI 500 uses an inductively coupled plasma (ICP) source with low ion energy for low-damage etch and nanostructuring. ...

  • Key features & benefits

    Low-damage ICP-RIE etching

    Due to low ion energy and narrow ion energy distribution, low-damage etch and nanostructuring can be performed with the SENTECH SI 500 ICP-RIE Plasma Etch System.

    Simple high-rate etching

    High-rate plasma etching of Si for MEMS with a high aspect ratio is easily performed either using room temperature alternating processes or cryogenic processes for smooth side walls.

    The SENTECH proprietary Plasma Source Technology

    The SENTECH Planar Triple Spiral Antenna (PTSA) plasma source is a unique, high-end plasma process system feature of the SENTECH SI 500 ICP-RIE Plasma Etch System. The PTSA source generates uniform plasma with high ion density and low ion energy suited for low-damage etch of sensors, quantum dots, and HEMT. It features high coupling efficiency and very good ignition behaviour for processing a large variety of materials and structures.

    Dynamic temperature control

    Substrate temperature setting and stability during the plasma etching processes are demanding criteria for high-quality etching. The substrate electrode with dynamic temperature control in combination with He backside cooling and substrate backside temperature sensing provides excellent process conditions over a wide temperature range. Applications like recess and mesa etch in compound semiconductors demonstrate optimal process control, which is necessary for high device performance.

    The SENTECH SI 500 ICP-RIE System represents the leading edge for ICP processing in both research and industry. The system comprises the ICP plasma source PTSA, a dynamic temperature-controlled substrate electrode, a fully controlled vacuum system, and a very easy-to-operate user interface. Configurations for processing a variety of materials, including but not limited to III-V and II-VI compound semiconductors (GaAs, InP, GaN, InSb), dielectrics, quartz, glass, silicon, silicon compounds (SiC, SiGe), and metals are achievable with this flexible and modular plasma etch system.

    Flexibility and modularity

    A large variety of substrates from 100 mm wafers up to 200 mm in diameter, as well as substrates on carriers, can be handled by the flexible load lock built into the SENTECH SI 500 ICP-RIE System. The single-wafer vacuum load lock guarantees stable process conditions and allows for straightforward switching of processes.

    We can offer different levels of automation ranging from vacuum cassette loading to one process chamber up to a six-port cluster configuration, with different etch and deposition modules offering high flexibility and high throughput. The system can also be incorporated as a process module on a cluster configuration.

    The SENTECH SI 500 ICP-RIE System is controlled by advanced hardware and SIA operating software, with a client-server architecture. A well-proven, reliable programmable logic controller (PLC) is used for the real-time control of all components.

  • SENTECH ICPECVD System – SI 500 D
    The Inductively coupled (IC) PECVD system, SENTECH SI 500 D for high density, low ion energy, and low-pressure plasma deposition of dielectric films and low-damage, low-temperature deposition for passivation layers. ...

  • Key features & benefits

    Exceptional high density plasma

    The SENTECH SI 500 D Inductively Coupled (IC) PECVD System features exceptional plasma properties like high density, low ion energy, and low-pressure plasma deposition of dielectric films and low-damage, low-temperature deposition for passivation layers.

    Low-stress Inductively Coupled PECVD

    Low-stress ICPECVD of SiNx as GaN HEMT passivation and SiOx for trench filling can be performed with excellent uniformity and repeatability for applications in RF and power devices, photonics, and more with the system.

    The SENTECH proprietary plasma source technology

    The SENTECH Planar Triple Spiral Antenna (PTSA) source is a unique feature of our high-end ICP process systems. The PTSA source generates uniform plasma with high ion density and low ion energy suited for high-quality and low-damage inductively coupled PECVD deposition of SiO2, Si3N4, a-Si, SiC, DLC, and doped layers.  

    Outstanding properties of deposited layers

    Low etch rate, high breakdown voltage, low stress, no damage of substrate, and very low interface state density down to deposition temperatures of less than 100 °C allow for outstanding properties of the deposited films.

    Dynamic temperature control

    Substrate temperature setting and stability during the plasma deposition processes are demanding criteria for high-quality etching. The substrate electrode with dynamic temperature control in combination with Helium (He) backside cooling and substrate backside temperature sensing provides high-quality layers, deposited even at low temperatures.

    The SENTECH SI 500 D ICPECVD System represents the leading edge for inductively coupled plasma (ICP) processing in both research and industry for plasma-enhanced chemical vapor deposition of dielectric films, a-Si, SiC, and other materials. The system comprises the ICP plasma source PTSA, a dynamic temperature-controlled substrate electrode, and a fully controlled vacuum system. A large variety of substrates from 100 mm wafers up to 200 mm diameter, as well as substrates on carriers can be handled by the flexible load lock built into the SENTECH SI 500 D. The single-wafer vacuum load lock and mechanical clamping guarantees stable conditions and allows for straightforward switching of processes.

    Flexibility and modularity

    The SENTECH SI 500 D ICPECVD System is configured to deposit SiO2, SiNx, SiOxNy, and a-Si films in a temperature range from room temperature up to 400 °C. Solutions are available for the deposition of TEOS, SiC, and other materials with liquid or gaseous precursors. The system is especially suited for the deposition of highly efficient protection barriers on organic materials at low temperatures and damage-free deposition of passivating films at well-defined temperatures. SENTECH offers different levels of automation ranging from vacuum cassette loading to one process chamber up to a six-port cluster configuration with different deposition and etch modules offering high flexibility and high throughput. The SENTECH SI 500 D is controlled by advanced hardware and SIA operating software, with a client-server architecture. A well-proven, reliable programmable logic controller (PLC) is used for the real-time control of all components.

  • SENTECH PEALD System – SI PEALD
    The SENTECH True Remote CCP Source enables homogenous and conformal coating of sensitive substrates and layers at low temperatures. A high flux of reactive gas species is provided at the sample surface without UV radiation or ion bombardment. ...

  • Key features & benefits

    PEALD for sensitive substrates

    The SENTECH SI PEALD system with true remote plasma source enables homogenous and conformal coating of sensitive substrates and layers at low temperatures <100 °C. A high flux of reactive gas species is provided at the sample surface without UV radiation or ion bombardment.

    Atomic layer deposition (ALD) for precise, conformal, and uniform deposition

    The ALD deposition technique is characterised by its ability to deposit conformal and uniform films with precise control over thickness at the atomic level and continues to play a growing role in semiconductor devices such as the deposition of high-k dielectric materials. Some of the primary applications of ALD include sensors, optoelectronics and 2D materials.

    In-situ diagnostics for process development and optimisation

    In-situ diagnostics by the AL Real Time Monitor enables ultra-high resolution of single ALD cycles. The advantages are confirmation of the ALD regime, reduction of process time, and total cost of ownership. Spectroscopic ellipsometry is provided as in-situ diagnostics, too, having specific advantages for our atomic layer deposition systems.

    Easy reactor cleaning

    Regular reactor cleaning is essential for stable and repeatable atomic layer deposition processing. The reactor chamber is easily opened with the help of a lifting device for cleaning our atomic layer deposition systems.

    Cluster integration

    Atomic layer deposition systems are available as modules for SENTECH Cluster Tools. Our atomic layer deposition systems can be combined with SENTECH PECVD and etch systems for industrial applications. Cluster tools optionally feature cassette-to-cassette loading.

    Glove box system integration

    SENTECH ALD Systems are compatible with glove boxes from various suppliers.

    SENTECH ALD Systems enable thermal and plasma-enhanced operation. Our ALD systems can be configured for oxide, nitride, 2D material deposition. 3D structures can be homogenously and conformally coated. With ALD, PECVD, and ICPECVD, SENTECH offers plasma deposition technology for depositing films from the nanometer scale up to several microns.

    Flexibility and modularity

    SENTECH ALD Systems allow the combination of different thermal and/or plasma-enhanced ALD films to multilayer structures. Thermal and plasma-enhanced atomic layer deposition (PEALD) is supported in one reactor with an optimal shutter.

    SENTECH offers leading-edge, ultra-fast, in-situ monitoring of layer-by-layer film growth using the AL Real Time Monitor as well as wide-range spectroscopic ellipsometry.

  • Spectroscopic Ellisometer - SENresearch 4.0
    The SENTECH SENresearch 4.0 spectroscopic ellipsometer covers the widest spectral range from 190 nm (deep UV) to 3,500 nm (NIR). High spectral resolution is offered to analyse even thick films up to 200 µm thickness using FTIR ellipsometry. ...

  • Key features & benefits

    Widest spectral range and highest spectral resolution

    The SENTECH SENresearch 4.0 spectroscopic ellipsometer covers the widest spectral range from 190 nm (deep UV) to 3,500 nm (NIR). High spectral resolution is offered to analyse even thick films up to 200 µm thickness using FTIR ellipsometry.

    No moving parts with SSA principle

    There are no moving optical parts during data acquisition for best measurement results. The Step Scan Analyser (SSA) principle is a unique feature of the SENresearch 4.0 spectroscopic ellipsometer.

    Full Mueller matrix by innovative 2C design

    The extension of the SSA principle by the innovative 2C design allows measurement of the full Mueller matrix. The 2C design is a field upgradable and cost-effective accessory.

    The SENTECH SENresearch 4.0 uses fast FTIR ellipsometry for the NIR up to 2,500 nm or 3,500 nm, respectively. It provides the broadest spectral range with the best S/N ratio and the highest, selectable spectral resolution. Silicon films up to 200 µm thickness can be measured. The measurement speed of FTIR ellipsometry compares to diode array configurations, which are also selectable up to 1,700 nm.

    Flexibility and modularity

    The motorised pyramid goniometer features an angle range from 20 deg to 100 deg. Optical encoders ensure the highest precision and long-term stability of angle settings. The spectroscopic ellipsometer arms can be moved independently for scatterometry and angle-resolved transmission measurements.

    The tool operates on the Step Scan Analyser (SSA) principle. The SSA decouples the intensity measurement from mechanical movement, thereby allowing the analysis of even rough samples. All optical parts are at rest during data acquisition. Furthermore, the SENTECH SENresearch 4.0 includes a fast measurement mode for mapping and in-situ applications.

    Customised ellipsometers of the SENresearch 4.0 can be configured for standard and advanced applications. Examples are dielectric layer stacks, textured surfaces, and optical and structural (3D) anisotropic samples. Predefined recipes are provided for a large variety of applications.

    SpectraRay/4 is the comprehensive spectroscopic ellipsometer software for the tool. It comprises two modes of operation: The recipe mode and the interactive mode. The recipe mode allows for easy execution of routine applications. The interactive mode guides the ellipsometric measurement through an interactive graphical user interface.

  • SENTECH Automated Thin Film QC - SENDURO®MEMS
    The SENDURO®MEMS is designed for fully automated, precise and repeatable measurement of film thickness, refractive index, and extinction coefficient of materials relevant to MEMS and sensor fabrication. ...

  • Key features & benefits

    Thin film quality control in sensors, MEMS, and rf/power device production

    The fully automated thin film quality control tool, SENTECH SENDURO®MEMS is measurement tool for quality control in sensors, rf/power devices, SAW filters, and MEMS production. The tool provides reliable and precise measurement of thin film stacks, using spectroscopic reflectometry and ellipsometry. Wafers are loaded from standard cassettes and recipes carry out the quality control measurements. The tool is designed to measure film thicknesses, control deposition processes by measuring refractive indices of thin films, and prepare surface trimming for filters.

    Backside protection by edge grip technology

    In processes where backside protection is mandatory, double-sided patterned wafers can be measured by the SENTECH SENDURO®MEMS without touching the backside. Edge-grip wafer handling is available for 100 mm, 150 mm, and 200 mm wafers. Cassette to cassette automatic wafer handling uses a robot, a pre-aligner, and 25-slot cassettes.  Single-point and multiple-point measurements are supported by up to 200 mm x-y mapping.

    Comprehensive thin film analysis software SpectraRay/4

    The fully automated thin film quality control tool, SENDURO®MEMS is operated by the SENTECH SpectraRay/4 software. It provides a high level of flexibility in measuring the broad range of films and layer stacks as it is common in sensor and MEMS production. The SECS/GEM software interface option supports the communication between the manufacturing execution system (MES) and QC equipment (SENDURO®MEMS).

    The SENTECH SENDURO®MEMS for fully automated thin film quality control, offers the configuration flexibility to meet the requirements of production control and QC.  The tool can be configured with µ-spot measurements in reflectometry and ellipsometry, and pattern recognition which provides the accurate measurement location. All measurements can be combined with edge-grip technology.

    Flexibility and modularity

    The SENTECH SENDURO®MEMS is designed for precise and repeatable measurement of film thickness, refractive index, and extinction coefficient of materials relevant to rf/power device, MEMS, and sensor fabrication with cassette loading:

    • Silicon oxide, silicon nitride, silicon oxynitride
    • Amorphous silicon, polysilicon
    • Photoresist, polyimide
    • Thin metal films of Al, Pt, Cr and conductive films of TiN, TaN, TCO and ITO
    • Single films and layer stacks of these materials on silicon wafers, silicon-on-insulator substrates, silicon membranes, GaN on silicon, SiC, and more.

  • SENTECH Cluster Configuration
    SENTECH Cluster Tools comprise plasma etching and / or deposition modules, transfer chamber and vacuum load lock or cassette station for flexibiliy in wafer handling. ...

  • Key features & benefits

    High yield and high throughput

    Plasma etch and deposition modules can be combined with up to two cassette stations for increased repeatability, high yield, and high throughput processing of up to 200 mm wafers.

    Flexible process configuration

    Three to six port transfer chambers are available for clustering ICP plasma etch systems, RIE etch systems, atomic layer deposition systems, and ICPECVD deposition tools to meet the requirements of research & development and production. Samples can be loaded via a vacuum load lock and/or a vacuum cassette station.

    Flexible carrier handling

    SENTECH Cluster Configurations are suitable for processing different wafer sizes without hardware changes using carriers allowing for He-backside cooling. Wafer cassettes of different pitch are also interchangeable.

    SENTECH Cluster Systems comprise plasma etch and/or deposition modules, a transfer chamber, and a vacuum load lock or cassette station. Transfer chambers including handling robot are available with three to six ports. Up to two cassette stations can be used to increase the throughput.

    Flexibility and modularity

    SENTECH Cluster Configurations can be used to process a large variety of substrates from 100 mm wafers up to 200 mm in diameter.

    The systems offer different levels of automation ranging from vacuum cassette loading to a one-process chamber for up to a six-port cluster configuration, with different etch and deposition modules offering high flexibility and high throughput.

    All SENTECH Cluster Systems are controlled by advanced hardware and SIA operating software, with a client-server architecture. A well-proven, reliable programmable logic controller (PLC) is used for the real-time control of all components.


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".