02-531-7800

EV Group Korea Ltd.

Gyeonggi-do, 
Korea (South)
http://www.EVGroup.com
  • Booth: A544


Welcome to visit us at Booth #A544 for EV Group (EVG).

EV Group(EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices and nanotechnology devices.
A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin-wafer processing and lithography/nanoimprint lithography equipment, photoresist coaters, as well as cleaning and inspection/metrology systems.
With state-of-the-art application labs and cleanrooms at its headquarters in Austria, U.S. and Japan, EVG is focused on delivering superior process expertise to its global R&D and production customer and partner base?  the initial development through to the final integration at the customer’s site. Founded in 1980, EVG services and supports an elaborate network of global customers and partners all over the world.
More information about EVG is available at www.EVGroup.com.


 Press Releases

  • EVG®40 NT2 offers breakthrough metrology performance to accelerate implementation of
    wafer- and die-level hybrid bonding and maskless lithography

    ST. FLORIAN, Austria, November 15, 2021—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the EVG®40 NT2 automated metrology system, which provides overlay and critical dimension (CD) measurements for wafer-to-wafer (W2W), die-to-wafer (D2W) and die-to-die (D2D) bonding as well as maskless lithography applications. Designed for high-volume production with feedback loops for real-time process correction and optimization, the EVG40 NT2 helps device manufacturers, foundries and packaging houses accelerate the introduction of new 3D/heterogeneous integration products as well as improve yields and avoid scrapping of highly valuable wafers.

    EVG will showcase the EVG40 NT2 system for the first time at the SEMICON Europa tradeshow, taking place November 16-19 at the Messe München in Munich, Germany. Attendees interested in learning more can visit EVG in Hall B1, booth B1460.

    Heterogeneous Integration Roadmap Driving New Metrology Needs

    As traditional 2D silicon scaling reaches its cost limits, the semiconductor industry is turning to heterogeneous integration—the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package—in order to increase performance on new device generations. In W2W, D2W and D2D bonding, tight alignment and overlay accuracy is required to achieve good electrical contact between the interconnected devices. As interconnect pitches become tighter with each new product generation, wafer and die bond alignment and overlay processes must also scale accordingly, with greater accuracy and more frequent measurements to identify process problems when they occur in order to provide corrective action or the possibility for rework, leading to higher production yields. Maskless exposure, an innovative lithographic approach for 3D/heterogeneous integration, requires increasingly precise pattern fidelity and pattern overlay on highly warped and distorted wafers that often incorporate shifted dies—driving the need for metrology that delivers crucial information on die position.

    “Process control is increasingly critical for leading-edge 3D and heterogeneous integration applications,” stated Dr. Thomas Glinsner, corporate technology director at EV Group. “The EVG40 NT2 represents a major breakthrough in metrology performance to meet the new demands for the advanced packaging industry. It provides not only greater overlay accuracy but also a significant boost in throughput to enable higher measurement density per wafer, giving more detailed feedback on hybrid bonding performance, for example. This new metrology solution rounds out EVG’s comprehensive portfolio of process solutions for 3D/heterogeneous integration, and complements our existing EVG40 NT system, which remains the de facto bond metrology standard for MEMS and complex photonic devices. The EVG40 NT2 is already playing a key role in several joint development projects underway at EVG’s Heterogeneous Integration Competence Center™.”

     

    EV GROUP UNVEILS HETEROGENEOUS INTEGRATION METROLOGY SOLUTION……Page 2 of 2

    High-Precision, High-Throughput Metrology Performance

    The EVG40 NT2 system provides highly precise measurements of critical bonding and lithography process parameters for current and future leading-edge 3D/heterogeneous integration applications. These measurements include: alignment verification and monitoring for W2W, D2W, D2D and maskless exposure processes; CD measurement; and multi-layer thickness measurement. It is a highly scalable system that features multiple measurement heads and a high-precision stage designed for high-throughput and high-accuracy (down to the low single-digit nm range) bonding and maskless exposure alignment verification. For alignment verification, the EVG40 NT2 generates an overlay model that can be used in a feedback loop for improving overall alignment. This reduces systematic errors and results in increased production yields. The system is compatible with multiple line optimization concepts for overlay feedback and die position feed-forward required by next-generation fabs supporting Industry 4.0 manufacturing.

    Product Availability

    EVG is now accepting orders for the new EVG40 NT2 automated metrology system, and offering product demonstrations at EVG’s Heterogeneous Integration Competence Center at its headquarters in Austria. For more information, please visit https://www.evgroup.com/products/metrology/.

    About EV Group (EVG)

    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

    Contacts:

    Clemens Schütte                                                                       David Moreno

    Director, Marketing and Communications                                    Principal
    EV Group                                                                                 Open Sky Communications
    Tel: +43 7712 5311 0                                                                 Tel: +1.415.519.3915
    E-mail: Marketing@EVGroup.com                                            E-mail: dmoreno@openskypr.com

    # # #

  • (20220114)
  • EVG7300® is the most advanced EVG solution to combine multiple UV-based processes, such as nanoimprint lithography (NIL), lens molding and lens stacking (UV bonding), in a single platform


    ST. FLORIAN, Austria, January 18, 2022—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the EVG®7300 automated SmartNIL® nanoimprint and wafer-level optics system. The EVG7300 is the company’s most advanced solution to combine multiple UV-based process capabilities, such as nanoimprint lithography (NIL), lens molding and lens stacking (UV bonding), in a single platform. This industry-ready, multi-functional system is designed to serve advanced R&D and production needs for a wide range of emerging applications involving micro- and nano-patterning as well as functional layer stacking. These include wafer-level optics (WLO), optical sensors and projectors, automotive lighting, waveguides for augmented reality headsets, bio-medical devices, meta-lenses and meta-surfaces, and optoelectronics. Supporting wafer sizes up to 300 mm and featuring high-precision alignment, advanced process control and high throughput, the EVG7300 meets the high-volume manufacturing needs for a variety of freeform and high-precision nano- and micro-optical components and devices.


    “With more than 20 years of experience in nanoimprint technology, EV Group continues to pioneer this critical field to develop innovative solutions to meet our customers’ evolving needs,” stated Thomas Glinsner, corporate technology director at EV Group. “The latest introduction to our family of nanoimprint solutions, the EVG7300, combines our SmartNIL full-field imprint technology with lens molding and lens stacking in a state-of-the-art system with the most precise alignment and process parameter control on the market—providing our customers with unprecedented flexibility for their industry research and production needs.”


    The EVG7300 system is offered as both a stand-alone tool as well as an integrated module in EVG’s HERCULES® NIL fully integrated UV-NIL track solution where additional pre-processing steps, such as cleaning, resist coating and baking or post-processing, can be added to optimize for particular process needs. The system features industry-leading alignment accuracy (down to 300 nm), which is enabled by a combination of alignment stage improvements, high-accuracy optics, multi-point gap control, non-contact gap measurement and multi-point force control. The EVG7300 is a highly flexible platform that offers three different process modes (lens molding, lens stacking and SmartNIL nanoimprint) and support for substrate sizes ranging from 150-mm to 300-mm wafers. Quick loading of stamps and wafers, fast alignment optics, high-power curing and a small tool footprint enable a highly efficient platform capable of serving the industry’s manufacturing needs for emerging WLO products.


    Product Availability
    EVG is currently accepting orders for the system, and product demonstrations are now available at EVG’s NILPhotonics® Competence Center located at the company’s headquarters. For more information on the EVG7300 automated SmartNIL nanoimprint and wafer-level optics system, visit [https://www.evgroup.com/products/nanoimprint-lithography/uv-nil-smartnil/evg-7300/].


    About EV Group (EVG)
    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.


    Contacts:
    Clemens Schütte                                                                                 David Moreno
    Director, Marketing and Communications                                         Principal

    EV Group                                                                                           Open Sky Communications

    Tel: +43 7712 5311 0                                                                          Tel: +1.415.519.3915

    E-mail: Marketing@EVGroup.com                                                    E-mail: dmoreno@openskypr.com


    # # #


 Products

  • GEMINI® FB
    Automated Production Wafer Bonding System Integrated platform for high precision alignment and fusion bonding...


  • Vertical stacking of semiconductor devices has become an increasingly viable approach to enabling continuous improvements in device density and performance. Wafer-to-wafer bonding is an essential process step to enable 3D stacked devices. EVGs GEMINI FB XT integrated fusion bonding system extends current standards and combines higher productivity with improved alignment and overlay accuracy for applications such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning. The system features the new SmartView NT3 bond aligner, developed specifically for fusion and hybrid wafer bonding alignment requirements of < 50 nm.

    Features
    New SmartView® NT3 face-to-face bond aligner with sub 50 nm wafer-to-wafer alignment accuracy
    Up to six pre-processing modules like: Clean module
    LowTemp™ plasma activation module
    Alignment verification module
    Debond module

    XT Frame concept for highest throughput with EFEM (Equipment Frontend Module)
    Optional features: Debond module
    Thermocompression bond module

  • IQ Aligner® NT
    Automated Mask Alignment System The IQ Aligner® NT is optimized for zero-assist contactless proximity processing at highest throughput....

  • The IQ Aligner NT is the most productive and technically advanced automated mask alignment system for high-volume applications. Featuring the most sophisticated print gab control and zero-assist dual-size wafer processing capability, the system fully addresses high-volume manufacturing (HVM) needs. It provides a 2X increase in throughput and a 2X improvement in alignment accuracy over EVG’s previous-generation IQ Aligner system, giving it the highest throughput of all mask aligners. The IQ Aligner NT surpasses the most demanding requirements for back-end lithography applications while providing up to 30 percent lower cost of ownership compared to competing systems growing out of the highest throughput supported on mask alignment tools.. With advanced wafer alignment run-out control, full-field mask movement capability and high-power UV light source, it is ideally suited for wafer bumping and interposer patterning, thereby serving a variety of advanced packaging types, including wafer-level chip scale packaging (WLCSP), fan-out wafer-level packaging (FOWLP), 3D-IC/through-silicon via (TSV), 2.5D interposers, and flip chip.

    Features
    Zero assist bridge tool - dual substrate concept supporting flexibility of production for 200 mm and 300 mm
    Throughput > 200 wph (first print)
    Cutting-edge alignment accuracy
    Top-side alignment down to 250 nm
    Back-side alignment down to 500 nm
    Broadband intensity > 120 mW/cm² (300 mm wafer)
    Full Clearfield Mask Movement (FCMM) for flexible pattern positioning and compatibility for darkfield mask alignment
    Contact-free in-situ mask-to-wafer proximity gap verification
    Excellent run-out compensation thanks to ultra-flat and fast response temperature-controlled wafer chuck
    Manual substrate loading capability
    Rework sorting wafer management and flexible cassette system
    Remote tech support and GEM300 compatibility
    Smart process control and data analysis feature [Framework Software Platform]
    Integrated analysis features for process and machine control
    Equipment and process performance tracking feature
    Parallel/queueing task processing feature
    Smart handling features
    Occurrence and alarm analysis
    Smart maintenance management and tracking

  • BONDSCALE™
    Automated Production Fusion Bonding System Enabling 3D Integration for More Moore...

  • EVG BONDSCALE is designed to fulfill a wide range of fusion/molecular wafer bonding applications, including engineered substrate manufacturing and 3D integration approaches that use layer-transfer processing, such as monolithic 3D (M3D). With BONDSCALE, EVG is bringing wafer bonding to front-end semiconductor processing and helping to address long-term challenges for "More Moore" logic device scaling identified in the International Roadmap for Devices and Systems (IRDS). Incorporating an enhanced edge alignment technology, BONDSCALE provides a significant boost in wafer bond productivity and lower cost of ownership (CoO) compared to existing fusion bonding platforms.

    BONDSCALE is being sold alongside EVGs industry benchmark GEMINI FB XT automated fusion bonding system, with each platform targeting different applications. While BONDSCALE will primarily focus on engineered substrate bonding and layer-transfer processing, the GEMINI FB XT will support applications requiring higher alignment accuracies, such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning.

    Features
    Fully automated fusion/molecular wafer bonding applications on 200 mm and 300 mm substrates in a single platform
    Direct wafer bonding with plasma activation for heterogeneous integration of different materials, high-quality engineered substrates as well as thin-silicon layer-transfer applications
    Layer-transfer processes and engineered substrates enabling logic scaling, 3D integration such as M3, 3D VLSI including backside power distribution, N&P stacking, logic-on-memory, clustered functional stacks and beyond-CMOS adoption

  • EVG®560
    Automated Wafer Bonding System Fully automated wafer bonding system for high-volume manufacturing...

  • The EVG560 automated wafer bonding system accepts up to four bond chambers with various bond chamber configuration options for all bonding processes and wafers up to 300 mm. Based on the same bond chamber design and incorporating the key features of EVGs manual bonding systems with enhanced process control and automation, the EVG560 bonder delivers high-yield production bonds. A robot handling system automatically loads and unloads the process chambers.

    Features
    Fully automated processing with automated loading and unloading of bond chucks
    Up to four bond chambers for various bonding processes
    Compatible with EVG mechanical and optical aligner including SmartView
    Simultaneous rapid heating and cooling on top and bottom side
    Automatic loading and unloading of bond chambers and cooling station
    Remote online diagnostics

  • EVG®40 NT
    Automated Measurement System Versatile, high-accuracy metrology for bonding and lithography...

  • The EVG40 NT (stand-alone tool) and the AVM (HVM-integrated module) enable measurement of lithography-relevant parameters like critical dimensions, as well as bond alignment accuracy.
    Because of the system’s high measurement accuracy, it is possible to verify compliance to tight process specifications and instantly optimize integrated process parameters.
    With its diverse measurement methods, the EVG40 NT adapts to a large number of manufacturing processes like nanoimprint lithography or wafer-to-wafer bonding simultaneously.
    As an application example, the EVG40 NT completes EVG’s product range for highly accurate aligned wafer bonding as the tool of record for reliably verifying the 100 nm bond overlay accuracy of EVG’s GEMINI FB automated fusion.

    Features
    Versatile measurement options for lithography and bonding metrology
    Alignment verification for bonding and lithography applications
    Top- to bottom-side microscope for manifold measurement methods
    Critical dimension (CD) measurement
    Die-to-die alignment verification
    Multi-layer thickness measurement
    High measurement accuracy in vertical and lateral direction
    High throughput due to specialized calibration routine
    PC-based measurement and pattern recognition software for highest reliability

  • LITHOSCALE®
    Maskless Exposure Lithography Systems represent an entirely new product and it is a revolutionary, highly versatile maskless exposure lithography platform geared for a variety of microfabrication applications accommodating wafers up to 300 mm....

  • MLE™ technology is a revolutionary next-generation digital lithography technology developed by EV Group to address lithography needs for markets and applications requiring a high degree of flexibility or product variation, such as advanced packaging, MEMS, biomedical and IC substrate manufacturing. The world’s first highly scalable maskless lithography technology for high-volume manufacturing, MLE delivers unsurpassed flexibility to enable extremely short development cycles for new devices.

    The LITHOSCALE system featuring EV Group’s MLE™ maskless exposure technology tackles legacy bottlenecks by combining powerful digital processing that enables real-time data transfer and immediate exposure, high structuring resolution and throughput scalability. Its mask-free approach eliminates mask-related consumables, while the exposure system with its tunable solid-state laser source is designed for high redundancy and long life-time stability with unique auto-calibration capabilities that minimize maintenance. Powerful real-time digital processing enables immediate exposure from the design-file to the substrate – thereby avoiding hours of conversion time for each digital mask layout.  LITHOSCALE features high-resolution (<2µm L/S), dynamically die-level addressable exposure of the entire substrate surface, which enables agile consumable-free processing and low cost of ownership (CoO). The LITHOSCALE system integrates full-wafer top-and-backside alignment utilizing dedicated objectives with visible and IR capability and proprietary chuck designs accommodating wafer sizes up to 300 mm. The system features dynamic alignment modes with an automatic focus, in order to adapt to the substrate material and surface variations. The ability to finely control focus level position keeps sidewalls steep as well as desired 3D contour of the resist, while preventing edge topping and footing. Large working distance and automatic adaptive focus ensures patterning uniformity across the exposure surface. It also offers individualized die processing capability, while fast full-field positioning and dynamic alignment enable high scalability for a range of substrate sizes and shapes.

    Features

    • - Wafer/substrate size up to 300 mm/12’’
    • - Resolution capability < 2 µm L/S
    • - Equipped with MLE technology featuring high-end diffraction-limited optics
    • - Exposure spectrum of 375-nm and/or 405-nm wavelength; user definable either as single, broadband or any      kind of wavelength mixture
    • - Regularly monitored and auto-calibrated solid-state light source securing its long-life-time stability and high        redundancy
    • - Advanced alignment modes supporting top-side and bottom-side VIS and IR alignment capability
    • - Depth of focus control (DoF) < 24 µm
    • - Adaptive autofocus control (AF) < 100 µm
    • - High-precision field proven alignment stage embedding high-tech mechatronics and calibration sensors for entire system stability
    • - Advanced software features including:
      • > Dynamic die-level annotation
      • > Advanced distortion compensation
      • > Mask-file transfer and recipe execution via host/flexible per each wafer
      • > Layout transformation function
      • > Alternative format files support: Gerber, ODB++, OASIS
    • - Automated contactless wedge compensation sequence
    • - Scalable solution accommodating R&D and high-volume manufacturing (HVM) needs in one system without       adding to footprint
    • - Consumables-free technology

Categories

BEWARE - Special Warning Notice about ExpoGuide and FAIRGuide

With the ongoing solicitations, SEMI would like to continue to alert you with questionable professional practices perpetrated against exhibitors by FairGuide.com (Austria) and Expo Guide (Mexico), Event Fair - The Exhibitors' Guide with their misleading directory services. There may be others that we are not aware of and are hence not named here. 

These companies provide legitimate exhibition guides aimed at exhibitors across the globe offering online listing services. They use a form which resembles and organizer's free catalogue listing service, inviting exhibitors to complete the form for an entry in an on-line directory. Unsuspecting exhibitors who sign and return the form are then contracted into a three-year, non-retractable agreement, which could cost the exhibitor a significant amount of money, with very limited foreseeable benefits. The details are often available on the form itself, but are often too small and insignificant to be noticed. It is always wise to really the small print before signing a contract, and if the information is impossible to read then the contract should not be signed. These publications have no connection with SEMI or any of our events, and it is important that all companies are made aware of this. 

Should you receive any communication from the Expo-Guide and Fairguide.com or related company, please IGNORE THEM COMPLETELY and DO NOT COMMUNICATE WITH THEM IN ANY WAY.

For Technical Support with this webpage, please contact support.