Onto Innovation Korea

Hwaseong-si,  Gyeonggi-do 
Korea (South)
https://ontoinnovation.com/
  • Booth: D330

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging.

Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient.

Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.

Additional information can be found at www.ontoinnovation.com.


 Press Releases

  • 글로벌 반도체 계측 장비 기업 온투이노베이션, 한국에 '고객 트레이닝센터' 설립

    글로벌 반도체 장비 기업 온투이노베이션(ONTO)은 고객을 대상으로 검사·계측·리소그래피 장비 실습과 유지보수 교육 전담을 위한 트레이닝센터를 경기 용인시에 위치한 반도체클러스터에 설립한다고 25일 밝혔다. 글로벌 반도체 계측장비 기업 중 온투이노베이션은 한국에 트레이닝센터를 처음 설립한 것으로 알려졌다.

    온투이노베이션은 한국 반도체 장비 물류거점에 첨단 장비 트레이닝 센터를 직접 운영함으로써 삼성·SK 등 글로벌 고객들과 최신 반도체 장비 기술의 성능 향상을 위해 더욱 긴밀하게 협력할 계획이다.

    마이크 로사(Mike Rosa) 온투이노베이션 CMO는 “초미세 패턴 기술 개발에 필요한 시간과 인력이 부족한 현재 상황에서 고객들은 더 빠른 기술 개발을 원하고 있다”면서 “트레이닝센터는 고객 제조 공정 생산성 향상 요구를 적극 지원한다”고 말했다.

    스리니 베듈라(Srini Vedula) 커스터머 석세스그룹 부사장도 “한국 트레이닝센터는 제품에 대한 교육과 실습을 통해서 서브 나노 공정, 패키징 세그먼트까지 광범위한 애플리케이션을 제공, 고객들이 자사 기술을 더 많이 활용하고 응용하는 계기가 될 것”이라고 전했다.

    황병수 온투이노베이션 코리아 대표는 “트레이닝센터는 우선 아틀라스 5 광학계측(OCD)시스템, 드래곤플라이 인스펙션, 제트스텝 리소크래피 등 장비 사용을 지원하는 데 중점을 둔다”면서 “연내 엘리먼트, 에코, 임펄스 등 방식의 아틀라스 5 광학 계측 장비 등을 추가한다”고 말했다.

    미국 매사추세츠주 윌밍턴에 본사를 둔 온투이노베이션은 나노미터 규모의 트랜지스터부터 대형 다이 인터커넥트에 이르는 칩 기능을 포괄한 3D 계측 장비, 웨이퍼·패키지 매크로 결함 검사장비, 첨단 반도체 패키징 리소그래피 등 제품을 공급하고 있다.

    안수민기자 smahn@etnews.com

  • August 24 opening of center located in Korea to be followed by a center in Taiwan later in the year – both serving customers across Asia

    Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced the opening of a new training, demonstration and solutions development center in South Korea focused on the Company’s broad line of inspection, metrology and lithography solutions. The center is located in Gyeonggi-do Province and is available to Onto’s customers across Asia.

    The Company also will open a center in Hsinchu, Taiwan, during the latter half of 2022. Together, the two centers will mark a continued drive in Onto’s commitment to working closer with customers globally to accelerate the qualification of the latest semiconductor device technologies.

    “Onto Innovation’s growing importance to our customers’ roadmaps in both front- and back-end manufacturing is creating the need for even closer collaborations,” says Dr. Mike Rosa, Onto’s CMO and head of strategy. “Each of these centers will prove to be an effective and timely resource fostering collaboration around equipment technology training, demonstration and the co-development of on-wafer solutions, where customers can work together with Onto technical experts toward solving their high-value problems.”

    In Korea, Onto’s Gyeonggi-do center will enable customers to learn how to leverage Onto’s breadth of connected product technologies to develop solutions for future manufacturing challenges. The center is initially designed to support basic to advanced training with course materials and trainers on site. “It’s important that our customers have access to these tools and software capabilities sooner, ensuring that time to productivity is minimized and on-wafer value is realized as soon as these systems hit the fab floor,” says Ryan Hwang, regional general manager, Onto Korea.

    These new facilities will focus on assisting Onto’s customers in the use of key portfolio products such as the Atlas® OCD metrology seriesIMPULSE® integrated metrology systemElement™ FTIR metrologyDragonfly® inspection system and the JetStep® lithography system.

    “Having the capability to develop solutions in closer collaboration with customers in Asia allows not only a reduced time to HVM for the customer, but also the opportunity for co-optimization of processes based on Onto’s latest equipment technologies. Further, an ability to demonstrate firsthand specific product capabilities to our customers will enable a deeper understanding of the value and flexibility of Onto’s products across a broad range of applications – from advanced node to specialty and packaging segments,” says Dr. Srini Vedula, senior vice president of Onto’s Customer Success Group.

    Onto Innovation is growing rapidly and responding to the needs of its evolving global customer base by offering connected solutions leveraging Onto’s hardware and software solutions. Interested customers should reach out to their local sales and support team to learn how to access these state-of-the-art resources.


 Products

  • Atlas® Series
    The Atlas thin film and OCD series is the metrology tool for leading-edge FinFET, gate-all-around (GAA) FET, 3D NAND, and advanced DRAM device manufacturing....

  • The new Atlas V metrology system is designed to measure several key steps that include buried features, not visible by CD-SEM and other techniques. Through remarkable improvements in the optical systems, mechanical sub-systems and software algorithms, the Atlas V system can precisely measure the very subtle variations for device parameters and reveal weak process corners for engineers to improve their process robustness in the fab. The sensitivity of Atlas V metrology enables these critical dimensions to be measured with high accuracy and sensitivity, extending the capability of optical solutions for generations of devices and eliminating the need for other slower process control techniques.

    The Atlas V technology enables the performance needed for customers’ development of GAA/3D NAND/DRAM and is over 100 times faster than X-ray solutions for these structures. Select Onto Innovation customers have validated this new OCD technology and have seen the speed and resolution that was once thought to be beyond the limits of optical technology.

    Awards

    2022 Best of West Award for Atlas V optical critical dimension metrology tool presented by SEMI and Semiconductor Digest

  • Dragonfly® G3 System
    Combining 2D and 3D technologies to detect yield-robbing defects and measure features critical for today's front-end and packaging technologies, the Dragonfly G3 system will reset the industry's expectations for throughput, accuracy and reliability....

  • Unique 2D imaging technology provides fast, reliable inspection for sub-micron defects to meet today's R&D needs and tomorrow's production demands. Onto Innovation's patented Truebump® Technology combines multiple 3D metrology techniques to deliver accurate 100% bump height metrology and coplanarity. This new technology is the foundation of Onto Innovation’s products designed to offer fast throughput, increased brightfield and darkfield sensitivity and solves site challenges related to large package inspection.

    The Dragonfly G3 system offers Clearfind® Technology for non-visual residue detection. For specialty markets, such as CMOS image sensors (CIS), the Dragonfly System uses a combination of oblique angle illumination with sophisticated image processing and a machine-learning algorithm to detect low contrast defects in the active pixel sensor area.

    The Dragonfly G3 system is tightly integrated with control and analytical software for real-time analysis and review, IR defect inspection and review, while also providing offline review options. When massive amounts of bump data are generated during inspection, users now have the tools to visualize data, correlate process variations and improve yields through exploratory data analysis down to the bump level.

  • Aspect® System
    The new Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices....

  • Memory density increases with both layer-pair scaling and tier stacking for memory stacks well over 200 pairs. The Aspect metrology system was designed with these future architectures and scaling strategies in mind. Aspect metrology is demonstrating performance superior to X-ray systems across multiple customer devices through a revolutionary infrared optical system providing full profiling capability to enable critical etch and deposition control, with the speed and process coverage that customers require.

    The Aspect system is powered by a powerful software analysis engine, AI-Diffract™ Technology, that provides up to 90% faster time to solution which extends the industry leading NanoDiffract® software by leveraging extensive machine learning capabilities along with high fidelity modeling. The result is a simultaneous improvement in metrology performance along with a significant time to solution reduction.

  • Element™ System
    The only tool on the market with the unique combination of transmission and reflection based technology. This system is the industry standard for dielectric monitoring....

  • The Element system is the tool of record for wafer suppliers for high speed impurity mapping and epi thickness measurement. It is the only tool on the market with the unique combination of transmission and reflection based technology. This system is the industry standard for dielectric monitoring.

    We collaborated with wafer suppliers to further improve critical wafer characteristics like epi layer thickness, epilayer resistivity and bulk resistivity using Onto Innovation’s reflection based technique.

    The Element system's transmission is a classic, direct method providing best sensitivity for monitoring dielectrics like BPSG, FSG, H in SiN, etc. Machine learning is used to eliminate use of monitor wafers for dielectric measurement. Reflection only based systems do not have sensitivity to most of these dielectrics.

  • IMPULSE® Series
    Integrated metrology platform powered by industry leading optics and machine learning solutions, combining high sensitivity with high throughput for CMP, deposition, etch and litho applications....

  • IMPULSE V System

    With tighter wafer-to-wafer and within-wafer uniformity tolerances, integrated metrology systems are in use across various semiconductor processing steps. Based on demonstrated high-resolution optical technology, the IMPULSE V system provides higher sensitivity to thin film residue measurements during the CMP process. The IMPULSE platform boasts the industry’s most reliable hardware with best-in-class reliability and productivity metrics. This next generation IMPULSE V system extends this reliability at a significantly higher throughput, staying in sync with the need for higher sampling, in-die / on-device and wafer edge measurements. The advanced optics and specifically designed measurement chamber offer a significant improvement in signal to noise ratio (SNR), achieving a >2X improvement in precision over the previous generation of integrated metrology. On-board machine learning uses the additional SNR to complete this powerful package, enabling a faster time-to-solution as well as closing the gap on layers that were previously unmeasurable by the existing toolset.

    The IMPULSE V system and the Atlas V system form a comprehensive thin film and optical critical dimension measurement solution for semiconductor fabs, enabling higher yield via feed forward of information with a seamless exchange of recipes and data.

  • Iris™ Series
    The Iris series of tools, which include the Iris C1, T1 and R1 models, enable process control across a broad range of applications in high volume manufacturing with excellent performance as well as cost-of-ownership....

  • The Iris series is targeted to provide our customer the best cost-of-ownership with a dedicated application-specific optical configuration for HVM applications in semiconductor manufacturing.

    The Iris C1 system combines a proprietary spectroscopic ellipsometry solution from the Atlas® family with Onto Innovation’s industry leading AI-Diffract™ OCD analysis software, enabling high precision control of every critical semiconductor process step. The system incorporates a dual-arm robot, high-precision stage and high-speed focus system. The system also features advanced pattern recognition, improved thickness reproducibility and throughput. The N2000™ software interface and advanced automation are compliant with standards adopted by SEMI and other organizations. The Iris system and AI-Diffract solution provides insight of complex structure profiles across etch, clean, deposition, CMP and thin films.

    The Iris T1 is a spectroscopic ellipsometer system that provides accurate, repeatable in-line thickness and optical constant measurements of single and multi-layer dielectric films for fab-wide applications. Built on the same field-proven Atlas platform, the Iris T1 system leverages the most recent advances in optics and algorithms, making it best-in-class for performance and cost-of-ownership. The SEMI/CE compliant N2000™ software interface enables recipe sharing between the Iris series of tools.

    Based on a common HBLS optical design derived from our seventh generation Atlas platform and best-in-class deep ultraviolet (DUV) optics, the Iris R1 system offers maximum sensitivity and accuracy to CMP process excursions and enables device makers to establish APC control solutions with high-accuracy feedback. With SpectraProbe™ and NanoDiffract® analysis solutions enabling direct measurements within device and active areas, users are now able to monitor minor process excursions and optimize their processes for higher yields.