ASML Taiwan

Hsinchu City, 
Taiwan
https://www.asml.com
  • Booth: F142


Be Part of Silicon Island at ASML Taiwan!

ASML is a leading supplier to the semiconductor industry. The company provides chipmakers with hardware, software and services to mass produce the patterns of integrated circuits (microchips). Together with its partners, ASML drives the advancement of more affordable, more powerful, more energy-efficient microchips. ASML enables groundbreaking technology to solve some of humanity's toughest challenges, such as in healthcare, energy use and conservation, mobility and agriculture.

ASML is a multinational company headquartered in Veldhoven, the Netherlands, with offices across Europe, the US and Asia. Every day, ASML’s more than 32,000 employees challenge the status quo and push technology to new limits. ASML Taiwan is the largest foothold in Asia with over 4,000 employees from 27 nationalities, join ASML Taiwan and be part of progress.


 Press Releases

  • VELDHOVEN, THE NETHERLANDS, MAY 10, 2022

    ASML Holding NV (ASML) today announces that it has successfully placed its inaugural green bond offering of senior notes for a principal amount of €500 million. The transaction is expected to be settled on May 17, 2022.

    ASML expects to use an amount equal to the net proceeds from this offering to finance and/or refinance, in whole or in part, new or existing commercial real estate projects (the Eligible Green Projects) that meet the requirements of the Issuer’s Green Bond Framework. The Green bond Framework and the ISS ESG Second Party Opinion thereon are available at www.asml.com.

    The senior notes are due in 2032 and have an issue price 99.47% and a coupon of 2.250%.

    The senior notes discussed in this press release have not been, and will not be, registered under the US Securities Act of 1933, and may not be offered or sold in the United States without registration or an applicable exemption from the registration requirements under the US Securities Act of 1933.

  • Sales growth expectations for 2022 unchanged

    VELDHOVEN, THE NETHERLANDS, APRIL 20, 2022

    Today ASML Holding NV (ASML) has published its 2022 first-quarter results.

    • Q1 net sales of €3.5 billion, gross margin of 49.0%, net income of €695 million
    • Q1 net bookings of €7.0 billion2
    • ASML expects Q2 2022 net sales between €5.1 billion and €5.3 billion and a gross margin between 49% and 50%
    (Figures in millions of euros unless otherwise indicated) Q4 2021 Q1 2022
    Net sales 4,986

    3,534

    ...of which Installed Base Management sales (1*) 1,522 1,247
    New lithography systems sold (units) 72 59
    Used lithography systems sold (units) 10

    3

    Net bookings (2*) 7,050 6,977
    Gross profit  

    2,701

     

    1,731

    Gross margin (%) 54.2 49.0
    Net income 1,774 695
    EPS (basic; in euros) 4.39 1.73
    End-quarter cash and cash equivalents and short-term investments 7,590 4,723

    (1*) Installed Base Management sales equals our net service and field option sales.
    (2*) Our systems net bookings include all system sales orders for which written authorizations have been accepted.

    Numbers have been rounded for readers' convenience. A complete summary of US GAAP Consolidated Statements of Operations is published on www.asml.com.

    CEO statement and outlook

    "Our first-quarter net sales came in at €3.5 billion which is at the high end of our guidance. The gross margin of 49.0%, is as guided. Our first-quarter net bookings came in at €7.0 billion, including €2.5 billion from 0.33 NA and 0.55 NA EUV systems as well as very strong DUV bookings, reflecting the continued high demand for advanced and mature nodes.

     

    "We continue to see that the demand for our systems is higher than our current production capacity. We accommodate our customers through offering high-productivity upgrades and reducing cycle time in our factories, and we continue to offer a fast shipment process. In addition, we are actively working to significantly expand capacity together with our supply chain partners. In light of the demand and our plans to increase capacity, we expect to revisit our scenarios for 2025 and growth opportunities beyond. We plan to communicate updates in the second half of the year.

     

    "ASML expects second-quarter net sales between €5.1 billion and €5.3 billion with a gross margin between 49% and 50%. ASML expects R&D costs of around €790 million and SG&A costs of around €220 million. For the full year, we continue to expect a revenue growth of around 20%," said ASML President and Chief Executive Officer Peter Wennink.

     

    Products and business highlights

    We received multiple orders this quarter for our High-NA EXE:5200 systems (EUV 0.55 NA) from both Logic and Memory customers.

    In our Applications business, we shipped our first eScan460 system, which is our next-generation single-beam inspection system, with higher resolution and 50% faster throughput than eScan430.

     

    Update share buyback program

    As part of its financial policy to return excess cash to its shareholders through growing annualized dividends and share buybacks, ASML executes a share buyback program which started on July 22, 2021, and is to be closed by December 31, 2023. Based on this program, ASML intends to repurchase shares up to an amount of €9 billion, of which we expect a total of up to 0.45 million shares will be used to cover employee share plans. ASML intends to cancel the remainder of the shares repurchased. In the first quarter, we purchased around €2.1 billion worth of shares under the current program.

     

    The share buyback program will be executed within the limitations of the existing authority granted by the Annual General Meeting of Shareholders (AGM) on April 29, 2021, and of the authority to be granted by future AGMs. The share buyback program may be suspended, modified or discontinued at any time. All transactions under this program will be published on ASML's website (www.asml.com/investors) on a weekly basis.

     

    Quarterly video interview and investor call

    With this press release, ASML has published a video interview in which CFO Roger Dassen discusses the 2022 first-quarter results and outlook for 2022. This video and the transcript can be viewed on www.asml.com.

     

    An investor call for both investors and the media will be hosted by CEO Peter Wennink and CFO Roger Dassen on April 20, 2022 at 15:00 Central European Time / 09:00 US Eastern Time. Details can be found on the Q1 2022 results page.

  • VELDHOVEN, THE NETHERLANDS, FEBRUARY 9, 2022

    VELDHOVEN, the Netherlands, February 9, 2022 – Today, ASML Holding NV (ASML) has published its 2021 Annual Reports. ASML will file its 2021 Annual Report based on US GAAP with the US Securities and Exchange Commission (SEC) and its 2021 Annual Report based on IFRS-EU with the Dutch Authority for the Financial Markets (AFM).

    ASML's 2021 Annual Reports are available on our website. ASML's 2021 Annual Report based on US GAAP will also be available at www.sec.gov. The Annual Report based on IFRS will be published at www.afm.nl.

    ASML will hold its Annual General Meeting of Shareholders (AGM) in Veldhoven on April 29, 2022. The AGM agenda with all related documents will be available at www.asml.com on March 15, 2022.

  • Intel makes its first purchase order for ASML’s TWINSCAN EXE:5200 system, marking the next step on the path to EUV 0.55 NA (High-NA) introduction.

    VELDHOVEN, THE NETHERLANDS AND HILLSBORO, OR, USA, JANUARY 19, 2022

    Today, ASML Holding N.V. (ASML) and Intel Corporation (INTC) announced the latest phase of their longstanding collaboration to advance the cutting edge of semiconductor lithography technology. Intel has issued its first purchase order to ASML for the delivery of the industry’s first TWINSCAN EXE:5200 system – an extreme ultraviolet (EUV) high-volume production system with a high numerical aperture and more than 200 wafers per hour productivity – as part of the two companies’ long-term High-NA collaboration framework.

    “Intel’s vision and early commitment to ASML’s High-NA EUV technology is proof of its relentless pursuit of Moore’s Law. Compared to the current EUV systems, our innovative extended EUV roadmap delivers continued lithographic improvements at reduced complexity, cost, cycle time and energy that the chip industry needs to drive affordable scaling well into the next decade,” said ASML President and CTO Martin van den Brink.

    Intel announced at its Accelerated event in July that it plans to deploy the first High-NA technology to enable its roadmap of transistor innovations. Intel was the first to purchase the earlier TWINSCAN EXE:5000 system in 2018, and with the new purchase announced today, the collaboration continues the path for Intel’s production manufacturing with High-NA EUV beginning in 2025.

    “Intel’s focus is to stay at the forefront of semiconductor lithography technology and we’ve been building our EUV expertise and capacity over the last year. Working closely with ASML, we will harness High-NA EUV’s high-resolution patterning as one of the ways we continue Moore’s Law and maintain our strong history of progression down to the smallest of geometries,” said Dr. Ann Kelleher, executive vice president and general manager of Technology Development at Intel.

    The EXE platform is an evolutionary step in EUV technology and includes a novel optics design and significantly faster reticle and wafer stages. The TWINSCAN EXE:5000 and EXE:5200 systems offer a 0.55 numerical aperture — a precision increase from previous EUV machines with a 0.33 numerical aperture lens — to enable higher-resolution patterning for even smaller transistor features. The numerical aperture of the system, combined with the wavelength used, determines the smallest printable feature.

    EUV 0.55 NA has been designed to enable multiple future nodes beginning in 2025 as the industry’s first deployment, followed by memory technologies at similar density. At the 2021 Investor Day, ASML shared its EUV roadmap and indicated that High-NA technology is expected to start supporting production manufacturing in 2025. Today's announcement is consistent with this roadmap.

  • The center will train the next generation of lithography engineers for chipmakers in Asia.

    TAINAN, TAIWAN, AUGUST 20, 2020

    Today we announced the opening of our Global EUV (extreme ultraviolet) Training Center in Tainan, Taiwan. This new training center features live EUV machine modules and comprehensive training courses to train EUV engineers for its EUV customers in Asia.

     

    Located in the Tainan Science Park, this 1,625 m2 new EUV training center will offer comprehensive training, including EUV machine operating practice in the cleanroom. Currently there are 14 trainers to provide thousands of hours of training courses per year. ASML expects to develop 360 EUV engineers for both internal and customer purposes every year.

     

    “We thank the Tainan Science Park Administration, the government and our customers for their support in helping us to establish this new EUV training center to serve the semiconductor industry,” says Mark Ting, manager of ASML Taiwan.

     

    “ASML is growing with the semiconductor industry and our customers,” Mark continues. “In 2010, we shipped the first prototype EUV lithography system to TSMC for R&D purposes, marking the beginning of a new era in lithography. In 2017, we shipped the first production-ready system, the TWINSCAN NXE:3400, to TSMC, and three years later, Taiwan has become home to the world’s largest EUV installed base.

     

    “Our investment in this Global EUV Technology Training Center represents ASML’s commitment to supporting our customers in advanced node manufacturing while expanding our diversified operations in Taiwan," he concludes.

     

    Supporting customers in a safe and cost-effective way

    It takes 18 months to develop an EUV engineer from a novice to a mature engineer who can work independently. The COVID-19 pandemic has increased the uncertainties related to traveling. The EUV training center enables both ASML and our customers to train EUV engineers locally in a safe and cost-effective way.

     

    “By placing our Global EUV Technology Training Center in Asia where EUV systems will continue to be installed, we expect to achieve significant savings in both time and money to bring EUV knowledge to those who need it,” says Wayne Allan, executive vice president of ASML Global Customer Support.

     

    ASML also has an EUV training center in South Korea, where we provide training courses to meet the growing customer demand for new EUV engineers.


 Products

  • EUV lithography systems
    Using EUV light, our NXE systems deliver high-resolution lithography and make mass production of the world’s most advanced microchips possible....

  • Using a wavelength of just 13.5 nm (almost x-ray range), ASML’s extreme ultraviolet (EUV) lithography technology can do big things on a tiny scale. EUV drives Moore’s Law forward and supports novel transistor designs and chip architectures.

    What’s next after EUV NXE? Reaching High-NA
    To enable further innovation in chip manufacturing, we’re developing a next-generation EUV platform that increases the numerical aperture (NA) from 0.33 to 0.55 (‘High-NA’).

    The High-NA platform, called ‘EXE’, has a novel optics design and significantly faster wafer and reticle stages. It will enable geometric chip scaling well into the next decade. The EXE platform has been designed to enable multiple future nodes, starting at the 2 nm Logic node and followed by Memory nodes at similar density.

    Development of this next-generation platform is ramping up, with first shipments of High-NA systems to customers for R&D purposes expected to take place at the end of 2023. EXE high-volume manufacturing systems are expected to be fully operational in customer factories by 2025.

  • DUV lithography systems
    ASML's deep ultraviolet (DUV) lithography systems dive deep into the UV spectrum to print the tiny features that form the basis of the microchip....

  • Our immersion systems lead the industry in productivity, imaging and overlay performance for high-volume manufacturing of the most advanced Logic and Memory chips.

    Immersion systems ­
    Immersion systems are the workhorses of the industry. Our latest NXT machines have shown the ability to run in excess of 6,000 wafers per day, with an average five percent productivity increase over 12 months, supporting our customers' value requirements. We continue to innovate our immersion systems to meet the requirements of future nodes, benefiting from commonalities in R&D with our EUV program, while ensuring the platform’s extendibility through System Node Enhancement Package upgrades. Thanks to these packages, any NXT system can be upgraded to the latest technology.

    Dry systems
    Chips are made up of many layers stacked on top of one another, and it’s not necessarily the latest and greatest immersion lithography machines that are used to produce these layers. In a given chip, there may be one or two more complicated layers that are made using an EUV lithography machine, but the rest can often be printed using ‘older’ technology such as dry lithography systems. This is certainly more cost-effective for customers, since these older machines are less expensive to purchase and maintain.

  • Refurbished systems
    Refurbishing ‘classic’ PAS 5500 and TWINSCAN lithography systems for a new life and a new purpose...

  • We measure a machine’s life in decades, not years. Almost every single ASML lithography system sold is still in use at a customer fab.

    The PAS 5500: a machine with a history
    Before EUV, before immersion, and even before our TWINSCAN systems, there was the PAS.

    In 1991, seven years after the company was founded, we launched the PAS 5500, which turned out to be our breakthrough platform. This system was able to dramatically reduce manufacturing times for our customers, and its modular design enabled them to produce multiple generations of advanced chips using the same system.

  • Metrology & inspection systems
    Delivering speed and accuracy, our metrology and inspection portfolio covers every step of the manufacturing process, from R&D to mass production....

  • Delivering speed and accuracy, our metrology and inspection portfolio covers every step of the manufacturing process, from R&D to mass production.

    YieldStar optical metrology
    Our YieldStar optical metrology solutions can quickly and accurately measure the quality of patterns on the wafer.

    E-beam metrology and inspection
    Our HMI e-beam solutions help to locate and analyze individual chip defects amid millions of printed patterns.

  • Computational lithography
    ASML's industry-leading computational lithography products enable accurate lithography simulations that help to improve chip yield and quality...

  • We developed computational lithography as part of our ASML product portfolio to provide the production yields and performance our customers demand when working on the nanoscale. Without computational lithography, it would be impossible for chipmakers to manufacture the latest technology nodes.

  • Customer support
    Working round the clock to design customer-centric products and keep them running smoothly...

  • At ASML, the customer always comes first. With more than 6,000 customer support employees, including service engineers and applications specialists, we work round-the-clock to make sure our systems in our customers’ fabs are running smoothly.

For Technical Support with this webpage, please contact support.