Loading...

Teltec Semiconductor Pacific Limited

Hong Kong,  Hong Kong
http://www.teltec.asia
  • Booth: C916

Overview

Teltec Semiconductor Pacific Limited is a leading technical Sales and Service Organization serving the Semiconductor Industry in the Pacific regions for 38 years.

Headquartered in Hong Kong, we have our branch offices set up in Singapore, Malaysia, Vietnam, Taiwan and Mainland China to serve our customers promptly in the regions.  Teltec Pacific represents leading equipment and material suppliers which include:-

Akrometrix         - Automated flatness inspection systems

AML                     - In-situ aligned wafer bonders

Bruker                  - AFM, 3D optical profilers, stylus profilers, nanoindentors & FTIRs, XRFs.

Denton Vacuum - Carbon/gold coaters for SEMs, evaporation & sputtering systems

DJ Microlaminates - Thick dry film sheets (for MEMS, Microfluidics)

FSM                     - Metrology systems for film stress and wafer bow measurements

Jelight                 - UVO cleaners, UV curing lamps, EPROM erasers, ozone generators

Kayaku                - Photoresists (for Lift-off, MEMS, compound semiconductor & e-beam lithography)

Microtronics      - Solderability testers

Nisene                 - Automated acid decapsulation systems & advanced Plasma decapsulation systems

RTI                        - Automated multi-channels curve tracing systems

OAI                       - Exposure systems, mask aligners, UV meters, solar simulators and IV measuring systems. 

PVA Tepla           - High-speed inline plasma processing systems, atmospheric plasma surface treatment, PECVDs and ALDs

Suhwoo              - Automated strip grinding systems

Sonix                   - High resolution scanning acoustic microscopes for IC packages and wafers

Yxlon Feinfocus - High-resolution x-ray inspection systems & industrial CT systems


  Press Releases

  • JetEtch Pro Decapsulation Systems

    Nisene is to continue to provide products and services that address the needs of our customer, and to offer fast, precise, reproducible and safe package decapsulations - a goal that can only be met with automated instrumentationMore Info

    JetEtchTotalPROTECT Advanced Decapsulation Systems
    With an unequaled feature set, the TotalProtect can etch the widest variety of any integrated circuits of any system on the market while maintaining the integrity of sensitive internal components.

  • The TAS7500TS is a Terahertz (THz) analysis system consisting of an optical fiber laser module and a data acquisition module, which are core parts of our terahertz spectroscopy system (TAS7500SP). Users complete the THz measurement system setup by simply connecting one of Advantest's THz source modules (TAS1110/TAS1130) and a detector module (TAS1230; sources and detectors sold separately). Flexible source selection and source/detector placement allows the user to generate customized experimental configurations.

    Supports a wide range of terahertz research and applications


    Super-high-speed terahertz optical sampling measurement (1msec/scan)
    Fiber pigtails enable flexible layout of THz source/detector modules and optical sampling system

  • Bubble Detection Systems 

    WEB6000 Series Bubble Detection Systems

    The Series 6000 Bubble Detection Systems are designed to optimize the performance of the gross leak hermeticity test while minimizing fluorocarbon fluid evaporation.

    Features:

    ● Exceeds the requirements of Mil Standard 883C.
    ● Minimizes fluorocarbon evaporation.
    ● Built-in fluid filtration system.
    ● Adjustable position magnification lens for improved operator viewing of the test area.
    ● Dual light sources for tank illumination in excess of 15,000 foot candles.

  • (Mar 30, 2023)

    Chemcut has been serving for the semiconductor industry in the areas:

    ● Water processing: CU, Titanium/Tungsten (Ti/W) and other Metals Etching, Dry Film Developing and Stripping.

    ● Back etching for lead frames (QFN), BGAs and etc.

    ● Lead frames and IC Substrates processing

    CC8000 Wet Processing Line Etcher

    CC8800 is designed for processing Semiconductor, IC (Lead Frames & IC Substrates), high end HDI PCB / FPC, Touch Panel and LCD Displayers, Solar and Precision Metal Parts. Its unique spray rack designs enable it to reach a higher level of etch quantities. Over 700 systems were built and installed since it was launched.

    Chemcut 2300 SERIES

    Small Production Lot and Prototype Systems

    The Chemcut 2300 series is a family of compact, double sided, horizontal, conveyorized, oscillating-spray processing systems built with the same proven techniques and quality used on Chemcut’s larger systems. The 2300 series is ideally suited for laboratory, prototype and small production lots of printed circuits as well as chemically machined parts, instrument panels and nameplates.

  • Paratronix® Horizontal Parylene Coating System Model H1092

    The Paratronix® H1092 Parylene Coating System has a horizontal coating drum to facilitate tumble coating. The rotating drum allows small parts to be coated on all surfaces. Parts best suited for tumble coating include O-rings, Seals, Gaskets, Ferrite Cores, Elastomeric Parts.

    Paratronix® Vertical Parylene Coating System Model V494

    The Paratronix® V494 Parylene Coating System has a vertical coating chamber to facilitate a static coating process. Parts are placed on rotating fixtures to facilitate coating uniformity.


  Products

  • Sonix scanning Acoustic Microscopes
    SONIX™ systems are used for the non-destructive inspection of a variety of materials including semiconductor packages, automotive parts and other advanced components....

  • Since 1986, Sonix has pioneered many of the breakthroughs in defect detection and process productivity that have helped semiconductor manufacturers create a world of new possibilities.
    Today, manufacturers around the world depend on our scanning acoustic microscope technology for nondestructive inspection of bonded wafers, packaged semiconductors, and industrial products. And we continue to lead the way with new ultrasonic technologies and applications to assure the quality of tomorrow’s innovations.

    SONIX Echo-VS is a new generation of equipment, designed for greater precision, more complex components. It is used in Flip chips, Stacked die, Bumped die, Bonded Wafers.

    ● High-resolution, scanning speed is 2.5 times the conventional ultrasonic scanning microscope
    ● Unique waveform simulator (Waveform Simulator) and the beam simulation (Beam Emulator)
    ● Scan resolution of less than 1 micron.
    ● The water temperature control system and ultraviolet disinfection system, the signal is more stable

  • Akrometrix Shadow Moiré AXP 2.0 System
    The TherMoiré® AXP 2.0 is a modular metrology solution that utilizes the Shadow Moiré measurement technique, combined with automated phase-stepping, to characterize out-of-plane displacement for samples up to 400 mm x 400 mm...

  • The TherMoiré® AXP 2.0 is a modular metrology solution that utilizes the Shadow Moiré measurement technique, combined with automated phase-stepping, to characterize out-of-plane displacement for samples up to 400 mm x 400 mm. With time-temperature profiling capability, the TherMoiré® AXP 2.0 captures a complete history of a sample’s behavior during a user-defined thermal profile.

    The combination of Shadow Moiré measurement and dynamic temperature profiling is the foundation of the patented TherMoiré® platform. Dynamic profiling is the most effective approach to analyze mechanical behavior induced by real-world processes and operating environments. Using the TherMoiré® AXP 2.0, engineers can gain a better understanding of the interactions between materials, packages, substrates, and complete assemblies.

    The TherMoiré® AXP 2.0 Optional Modules provide advanced measurement, processing and throughput capabilities:

    • Digital Image Correlation (DIC2) Module
    • Convection Reflow Emulation (CRE6) Module
    • Digital Fringe Projection (DFP2) Module
    • Sub Room Temp Module (SRM)
  • RTI MegaTrace Curve Tracing System
    MegaTrace curve tracer is designed for high pin count devices. MegaTrace typically supports pin counts greater than 625 up to 2160 pins, with 1080 pins being popular. It utilizes the same applications as the smaller models....

  • The cart form allows for movement around the lab, and custom configurations can be implemented.  Each MegaTrace is built with an LCD monitor, controller running essential DataTrace Analysis, and MultiTrace software on the most recent version of Windows.

    The MegaTrace is an automated curve tracer used for powered curve tracing, multiple testing configurations and capabilities.  

    Curve Tracing Capabilities

    • Unpowered Curve Tracing (Continuity Testing)
    • Powered Curve Tracing
    • Supply Current Characterization (Idd Testing)
    • 2 and 3 VDD Supply Testing on a 6 Bus System

    Other Measurements and Testing Capabilities

    • Latch-Up Testing
    • Functional Preconditioning
    • Any DC Measurement to ±15V and ±1A
  • CEE Spin Coaters and Hotplates System
    Cost Effective Equipment has been an industry benchmark since 1987 when we produced the world’s first semiconductor-grade benchtop bake-plate for silicon wafer processing....

  • Cee spin coaters and hotplates are routinely used for photoresist and anti-reflective coatings for photolithography, e-beam resists, sol-gels, packaging adhesives, adhesion promoters, dielectrics, and SU-8 as well as photomask processing.

    Over the decades since product line expanded to include spin-develop and spin-clean systems as well as wafer chill-plates and large area panel processing tools.  In 2016 at the CS Mantech show introduced a complete line of temporary wafer bonders and debonders for laboratory and small volume production.  These tools are especially suited for compound semiconductors, wafer thinning processes and wafer level packaging. 

  • Comet Yxlon X-ray and CT systems
    Yxlon is now Comet Yxlon in 2022. Discovery of the X-rays by Wilhelm Conrad Röntgen in 1895 and the construction of the first X-ray tube by Carl Heinrich Florenz Müller in Hamburg in 1896....

  • FEINFOCUS, the YXLON microfocus product line, was originally founded by Alfred Reinhold in 1982 with his development of the first open microfocus X-ray tube for industrial applications. For more than 20 years, FeinFocus has been providing the most advanced microfocus X-ray inspection solutions to manufacturers worldwide. As a part of the YXLON group since 2008, FeinFocus has always been deeply dedicated to X-ray technology, still producing the complete range of microfocus X-ray tubes in the headquarters in Hamburg. With eight locations and a global net of service and sales locations, YXLON is everywhere close to its customers.

  • Kayaku Advanced Materials Photoresist
    Kayaku Advanced Materials, Inc. delivers the speed, responsiveness, and solutions of an entrepreneurial organization, while backed by the experience, wisdom, and market knowledge of a company that has spent decades as a global leader....

  • SU - 8 3000 series Photoresists

    SU-8 3000 has been formulated for improved adhesion and reduced coating stress.  It is being used where high bond strength and improved flexibility for microstructure fabrication is desired.  As a result, adhesion to the substrate is greatly improved.

    KMPR 1000 Series - Temporary Epoxy Negative Resists

    KMPR is used as DRIE etch masks for high aspect ratio patterning. It is also widely used as plating molds in MEMS and Bio devices. It is relatively easier to strip-off KMPR than SU-8 prior to being hard baked, due to its reduced crosslink density. KMPR epoxy negative resists can be developed in either solvent (PGMEA), or aqueous (TMAH) developers.

    PMMA & Copolymer (MMA (8.5) MAA)

    PMMA resists are PMMA polymers of specific molecular weights that are dissolved in a solvent, such as anisole (a safer solvent) and then filtered. PMMA is commonly used for direct write e-beam processes such as T-gate fabrication. PMMA is also used for temporary wafer bonding processes such as wafer thinning, where it’s used as a protective layer and the temporary adhesive.

    PMGI & LOR Bi-layer Lift-off Resists.

    Enables high resolution (<0.25µm) metallization lift-off, thick metal deposition (>3µm). Supports high volume, high yield production processes. Excellent adhesion to Si, NiFe, GaAs, GaN and other III-V compounds. Clean lift-off, even after very high temperature processing.

For Technical Support with this webpage, please contact support.