Onto Innovation Southeast Asia Pte. Limited

Singapore,  Singapore
https://ontoinnovation.com/
  • Booth: 819

Welcome to Onto Innovation!

Overview

Your partner for innovative solutions that improve time to market, yield, and product reliability.

Onto Innovation stands alone in process control with our unique perspective across the semiconductor value chain. We enable our customers to solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation will optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports its customers with a worldwide sales and service organization.

We combine the scale of a global leader with an expanded portfolio of leading-edge technologies that include: unpatterned wafer quality; 3D metrology spanning the chip from nanometer-scale transistors to micron-level die-interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. The breadth of this portfolio allows us to collaborate with customers about their process yields and process variations from bare silicon wafers through the wafer fab to the final back-end packaging.
Onto Innovation’s software brings understanding of how individual processes affect the overall product, enabling customers to improve product quality and reliability.  


  Products

  • Dragonfly G3 System
    Combining 2D and 3D technologies to detect yield-robbing defects and measure features critical for today's front-end and packaging technologies, the Dragonfly G3 system will reset the industry's expectations for throughput, accuracy and reliability....

  • Unique 2D imaging technology provides fast, reliable inspection for sub-micron defects to meet today's R&D needs and tomorrow's production demands. Onto Innovation's patented Truebump® Technology combines multiple 3D metrology techniques to deliver accurate 100% bump height metrology and coplanarity. This new technology is the foundation of Onto Innovation’s products designed to offer fast throughput, increased brightfield and darkfield sensitivity and solves site challenges related to large package inspection.

    The Dragonfly G3 system offers Clearfind® Technology for non-visual residue detection. For specialty markets, such as CMOS image sensors (CIS), the Dragonfly System uses a combination of oblique angle illumination with sophisticated image processing and a machine-learning algorithm to detect low contrast defects in the active pixel sensor area.The Dragonfly G3 system is tightly integrated with control and analytical software for real-time analysis and review, IR defect inspection and review, while also providing offline review options. When massive amounts of bump data are generated during inspection, users now have the tools to visualize data, correlate process variations and improve yields through exploratory data analysis down to the bump level.

  • Firefly® G3 System
    An automated inspection solution for advanced IC substrates and panel level packaging by delivering high resolution and productivity....

  • The Firefly G3 platform offers multiple imaging modes, including Onto Innovation’s patented Clearfind® Technology, a technique to detect residue defects on metal and metal defects on organic layers. The combination of high sensitivity inspection, 2D/3D metrology and on tool color image capture capability in a single platform reduces capital investment requirements and provides a reliable pathway for panel-based process applications that require high I/O counts and multiple-chip integration.

    Integration with Onto Innovation’s Discover® Defect and TrueADC® software quickly turns defect data into actionable process control, improves defect classification and reduces manual review. It enables our customers to develop, learn and analyze new processes reliably while significantly improving their product delivery time to market.

  • Aspect® System
    The Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices....

  • Memory density increases with both layer-pair scaling and tier stacking for memory stacks well over 200 pairs. The Aspect metrology system was designed with these future architectures and scaling strategies in mind. Aspect metrology is demonstrating performance superior to X-ray systems across multiple customer devices through a revolutionary infrared optical system providing full profiling capability to enable critical etch and deposition control, with the speed and process coverage that customers require.

    The Aspect system is powered by a powerful software analysis engine, Ai Diffract™ Technology, that provides up to 90% faster time to solution which extends the industry leading NanoDiffract® software by leveraging extensive machine learning capabilities along with high fidelity modeling. The result is a simultaneous improvement in metrology performance along with a significant time to solution reduction.

  • Iris™ Series
    The Iris series of tools enable process control across a broad range of applications in high volume manufacturing with excellent performance as well as cost-of-ownership....

  • The Iris C1 system combines a proprietary spectroscopic ellipsometry solution from the Atlas® family with Onto Innovation’s industry leading Ai Diffract™ OCD analysis software, enabling high precision control of every critical semiconductor process step. The system incorporates a dual-arm robot, high-precision stage and high-speed focus system. The system also features advanced pattern recognition, improved thickness reproducibility and throughput. The software interface and advanced automation are compliant with standards adopted by SEMI and other organizations. The Iris system and Ai Diffract solution provides insight of complex structure profiles across etch, clean, deposition, CMP and thin films.

    The Iris T1 is a spectroscopic ellipsometer system that provides accurate, repeatable in-line thickness and optical constant measurements of single and multi-layer dielectric films for fab-wide applications. Built on the same field-proven Atlas platform, the Iris T1 system leverages the most recent advances in optics and algorithms, making it best-in-class for performance and cost-of-ownership. The SEMI/CE compliant software interface enables recipe sharing between the Iris series. 

    The Iris S system offers a single platform solution for thin film, OCD, and wafer bow and film stress measurements on 150, 200 & 300mm wafers. It can handle a broad variety of substrates, including but not limited to SiC, GaN, glass and silicon. The system incorporates a dual-arm robot, high-precision stage, advanced pattern recognition, and a high-speed focus system for high positioning accuracy at high throughput. A state-of-the-art dual channel optical architecture offers oblique incidence Mueller Matrix spectroscopic ellipsometry (MMSE) and normal incidence spectroscopic reflectometry (SR) in a broad wavelength range from UV to IR wavelengths.

  • TrueADC® Software
    TrueADC is the one Automated Defect Classification system for your entire Industry 4.0 enterprise....

  • TrueADC’s one agnostic platform accepts results from all defect scan and optical review systems, including SEM. Featuring smart factory-style automation extendable across an entire supply chain, TrueADC is the only automated defect classification solution you need.

    With deployable classifiers in as little as eight hours and high-speed classification rates over 180 defects per minute, TrueADC is the one Automated Defect Classification system for your entire Industry 4.0 enterprise. TrueADC’s one agnostic platform accepts results from all defect scan and optical review systems, including SEM. Featuring smart factory-style automation extendable across an entire supply chain, TrueADC is the only automated defect classification solution you need.

    Choose the best implementation. TrueADC can perform classic SPC enhanced defect Paretos or deliver a definitive quality assessment of each die based on every defect, killer or non-killer. What are your goals? Defect Paretos allows engineers to quickly drive toward root causes based on specifically identified types of defects. As a definitive outgoing quality assessment (OQA) tool, TrueADC classifies a die based on its specific defects - effectively reducing the opportunity for escapes and overkill.

    Trust in automation. TrueADC’s multi-engine AI and non-AI modes leads to a matter-of-fact classification; it’s like two operators reviewing the same defect then reaching a consensus based on their overlapping perspective. Re-review of a TrueADC classified defect is a thing of the past.  

    Preserve your AI investment. Integrate TrueADC with your proprietary classification engines to leverage internal expertise. Adopt and apply different deep learning model architectures. Incorporate 3rd-party models. However you imagine the next level of your DL implementation, consider us your partner. 

    Maximize value with Onto Innovation. When TrueADC is integrated with Onto AOI tools and our Discover Defect and Discover Review platforms, customers benefit from a proprietary hybrid decision-making process across all wafers and surfaces – delivering the best results in the shortest time possible.

  • JetStep® X500 System
    The Onto Innovation JetStep X500 system is designed to provide AICS and OSAT manufacturers with a lithography solution capable of high volume manufacturing....

  • The JetStep X500 panel lithography system is optimized for volume manufacturing of high-end AICS and advanced packaging panels. The system incorporates the industry's largest field exposure system, with advanced features to meet the challenging requirements encountered in production of AICS or panel level packaging, such as; fine line RDL resolution with large depth of focus (DOF), high overlay accuracy, automatic magnification compensation with independent x and y magnification adjustment, handling a large range of substrate thicknesses with high levels of warp.
For Technical Support with this webpage, please contact support.