Loading...

Teltec Semiconductor Pacific Limited

Hong Kong,  China
http://www.teltec.asia
  • Booth: 1017

Welcome to TELTEC Pacific‘s booth - 1017

Overview

Teltec Semiconductor Pacific Ltd (www.teltec.asia) is a leading Sales & Service Organization serving the Semiconductor Industry (Front & Backend) for almost 40 years.

Applications:

1) Substrate Activation/Cleaning: UVO Cleaners, Atmostpheric Plasma Surface Treatment Systems

2) Failure Analysis: Bond Testers, Decapsulation Systems, Scanning Acoustic Microscopes, Automated IV Curve Tracers, TDR Analysis Systems, X-ray Inspection Machines with CT

3) Reliability: Solderability Testers, Leakage Detection Systems

4) Photolithography: Mask Aligners, Photoresists for MEMS and Compound Semiconductor Applications, Solar Simulators, Insitu Aligned Wafer Bonders, Spin Coaters, Hotplates, Develop, Etch and Strip Systems

5) Metrology: Optical Profilers, Nanoindentors, FTIR, XRD, Thin Film Thickness and Stress Measurement Systems, Thermal Warpage & Strain Measurement Systems

6) Deposition & Etching: Sputtering & Evaporation Systems, RF Plasma Systems

7) Production: AOI Machines, Strip Grinders, Auto Molding Systems, Die Bonders, Reflow Ovens

Address: Rm. 2802 Wing On House, 71 Des Voeux Road, Central, Hong Kong
Tel: (852) 2521 4213
Email: info@teltec.asia

Singapore  TEL: (65) 6734 8619     teltecsg@teltec.asia
Malaysia    TEL: (60 12) 3975 680  teltecmalaysia@teltec.asia
Vietnam     TEL: (65) 6734 8619     teltecvietnam@teltec.asia


  Press Releases

  • Cost Effective Equipment has been an industry benchmark since 1987 when we produced the world’s first semiconductor-grade benchtop bake-plate for silicon wafer processing. Cee spin coaters and hotplates are routinely used for photoresist and anti-reflective coatings for photolithography, e-beam resists, sol-gels, packaging adhesives, adhesion promoters, dielectrics, and SU-8 as well as photomask processing.

    Over the decades since, our product line expanded to include spin-develop and spin-clean systems as well as wafer chill-plates and large area panel processing tools. In 2016 at the CS Mantech show we introduced a complete line of temporary wafer bonders and debonders for laboratory and small volume  production. These tools are especially suited for compound semiconductors, wafer thinning processes and wafer level packaging.

    Apogee™ Temporary Bonding System
    ■ Carrier and device are separated during pre-bond evacuation

    ■ Self-leveling platens minimize total thickness variation

    ■ Substrate size range: 50-300 mm

    ■ Dual heated platens minimize thermal defects

    ■ Evacuated bond chamber eliminates voids

    ■ DataStream™ Technology

    Apogee™ Mechanical Debonder
    ■ In-house debonding of fully processed thinned device wafers

    ■ Device wafer debonding on film frame to fully support device wafer and minimize handling risk

    ■ Low stress to device wafer

    ■ Substrate sizes (round): 50 mm to 300 mm

    ■ DataStream™ Technology

    ■ Compact design for minimized footprint

    Apogee™ Bake Plate
    ■ Substrate sizes: up to 200 mm round; 8” × 8” 2

    ■ Temperature range: ambient to 300°C (400°C optional)

    ■ Temperature uniformity: ±0.3% a cross working surface

    ■ Smart Pins for programmable height control

    ■ Temperature resolution: 0.1°C

    ■ DataStream™ technology

    Apogee™ 300 Bake Plate
    ■ Substrate sizes: up to 300 mm round; 14” 2 (355.6mm)

    ■ Temperature range: ambient to 300°C (400°C optional)

    ■ Temperature uniformity: ±0.3% across working surface

    ■ Smart Pins for programmable height control

    ■ Temperature resolution: 0.1°C

    ■ DataStream™ technology

    Apogee™ Spin Coater
    ■ Substrate sizes: < 1 cm to 200 mm round; 7” x 7” 2

    ■ High-density polyethylene (HDPE) spin bowl for max chemical compatibility

    ■ Spin speed acceleration: 0 to  30,000 rpm/s unloaded

    ■ Manual dispense & auto dispense can be selected

    ■ Compact design for minimized footprint

    ■ Full-color, 7-inch touch screen display

    ■ DataStream™ technology

    Apogee™ 450 Spin Coater
    ■ Substrate sizes: < 1 cm to 450 mm round; 14” x 14” 2

    ■ High-density polyethylene (HDPE) spin bowl for max chemical compatibility

    ■ Spin speed acceleration: 0 to 30,000 rpm/s unloaded

    ■ Manual dispense & auto dispense can be selected

    ■ Compact design for minimized footprint

    ■ Full-color, 7-inch touch screen display

    ■ DataStream™ technology

    Apogee™ Developer
    ■ A virtually unlimited number of user-defined recipe program steps

    ■ High-density polyethylene (HDPE) spin bowl for max chemical compatibility

    ■ 90° direct-angle continuous or 45° side-angle spray nozzles

    ■ Substrate sizes: < 1 cm to 200 mm round; 7” x 7” 2

    ■ Spm Speed: 12,000rpm

    ■ Full-color, 7-inch touch screen display

    ■ Compact design for minimized footprint

    ■ DataStream™ Technology

    Apogee™ 450 Spin Developer
    ■ A virtually unlimited number of user-defined recipe program steps

    ■ High-density polyethylene (HDPE) spin bowl

    ■ Enhanced lid-lift assist feature (gas spring opens ≥ 45°)

    ■ Substrate sizes: < 1 cm to 450 mm round; 14” x 14”2

    ■ Spm Speed: 12,000rpm

    ■ Full-color, 7-inch touch screen display

    ■ Compact design for minimized footprint

    ■ DataStream™ Technology

    Cee® X-Pro II Workstation
    Integrated. Easy. Ready-to-Run.
    BENEFITS

    ■ Plug and Play. Be up and running in virtually no time.

    ■ Fully integrated solution: workstation, tools, and service/ installation

    ■ Fully customizable. Designed to fit you needs

    A SIMPLE SOLUTION

    No more hassle. Whether you need a single tool or ten, fume control or a clean environment, the Cee® X-Pro II Workstation is the perfect alternative to typical wet benches.

  • RTI is the leading supplier of test systems, fixtures and test sockets for failure analysis of semiconductor and hybrid modules. In addition to the MegaTrace curve tracer test system, RTI can supply all required test fixtures, cables, and test sockets required for most applications.

    RTI's MT Century Curve Tracers
    RTI has developed a cost effective and economical alternative to manual curve tracing! The MT Century tests devices with up to 432 contacts, and with 4 models available you're certain to find a system that matches your budget and your testing requirements. The MT Century performs with the same reliability, power, and software used on our larger pin count systems while using only one chassis. Like the other RTI curve tracers, the MT Century system is designed to interface with the 950 series test fixture and other benchtop fixtures.

    RTI's MegaTrace Automated DC Parametric Curve Tracers
    This curve tracer picks up where the MultiTrace leaves off. Starting at 648 pins and going all the way to higher 2160 pins, the MegaTrace has enough pins to test just about any device. Conveniently built in a portable stand-alone cabinet, the tester is easy to move around and use with other instruments like emission microscopes, probe stations, and other remote testing requirements.
    Curve Tracing Capabilities

    ● Unpowered Curved Tracing (Continuity Testing)

    ● Powered Curve Tracing

    ● Supply Current Characterization (IDD Testing)

    ● 2 and 3 VDD Supply Testng on a 6 Bus System
    Other Measurements and Testing Capabilities

    ● Latch-Up Testing

    ● Functional Preconditioning

    ● Any DC Measurement to ±15V and ±1A

    RTI's MultiTrace Test Systems
    The original Automatic Curve Tracer available for over 25 years; this is a desktop system capable of testing devices up to 1080 pins. It is available in a variety of sizes to meet the needs of the widest range of customers using its built in 25x25 ZIF interface and RTI’s side-by-side PGA DUT boards.

    Sockets
    RTI’s test socket are the first point of contact with your DUT. Sockets are tailored to fit the immediate electrical, thermal, and device access top or bottom side to device. Can build test sockets to match the footprint of an existing load board.

  • Nisene Technology Group — formerly B&G International — has been the world leader in decapsulator technology since its introduction into the semiconductor industry over 30 years ago. Nisene Technology Group offers a comprehensive range of products, methodologies and support services that encompass all IC decapsulation requirements.

    JetEtch Pro TotalProtect

    The JetEtch Pro TotalProtect is the world’s most advanced decapsulation system. With an unequaled feature set, the TotalProtect can etch the widest variety of any integrated circuits of any system on the market while maintaining the integrity of sensitive internal components. It is the new gold standard. Only from Nisene Technology Group.

    Features Include:

    • Sub-Ambient Cooling
    • Daisy-Chaining Recipes
    • Same Specs as the CuProtect

    The TotalProtect system comes equipped with all of the great features of the JetEtch Pro decapsulation system, as you might expect. It also comes with the patented bias voltage application process capability of the CuProtect. In addition to that, it ALSO has a special cooling feature that allows sub-ambient cooling of the etching acid. When combined with the bias application process, the TotalProtect offers the end user a tremendous range of etching parameters for virtually endless recipe combinations. It’s the total package for total protection. It’s the JetEtch Pro TotalProtect.
     

  • Bruker is enabling scientists to make breakthrough discoveries and develop new applications that improve the quality of human life. Bruker’s high-performance scientific instruments and high-value analytical and diagnostic solutions enable to explore life and materials at molecular, cellular and microscopic levels. In  close cooperation with customers, Bruker is enabling innovation, improved productivity and customer success in life science molecular research, in applied and pharma applications, in microscopy and nanoanalysis, and in industrial applications, as well as in cell biology, preclinical imaging, clinical phenomics and proteomics research and clinical microbiology.

    ContourX 3D Optical Microscopes

    The ContourX family of profilometers utilizes numerous Bruker-exclusive WLI technology advances to deliver the industry's most capable benchtop metrology and easiest to use surface measurement software. Available in three benchtop models, the ContourX profilers feature new, robust design and provide a range of capabilities and price points optimized to match individual metrology and budget requirements. New hardware features include an innovative stage design for larger stitching capabilities and a 5MP camera with a 1200x1000 measurement array for lower noise, larger field-of-view, and higher lateral resolution. In addition to the new USI and Advanced PSI modes, software enhancements include Bruker's new VisionXpress interface, which provides simple and intuitive access to the full power of the award-winning Vision64® analytical software suite and makes these profilers ideal for multi-user environments.

    Fast and repeatable 3D Metrology

    • Industry-best Z resolution, independent of magnification
    • Largest standard field of view
    • Compact, vibration-tolerant design for highest stability and repeatability
    • Surface-Independent Metrology with Application-Specific Solutions
    • Precision Engineering - Measure gage-capable surface roughness and flatness
    • Orthopedics/Ophthalmics - Quantify radius of lens curvature and shape deviation for aspheres
    • Tribology - Analyze impact of friction and corrosion
    • Advance Research - Monitor topography changes in micro-bacterial colonies

    Applications:

    • Roughness (Polished Glass, Oily Material, Photoresist, Silicon etc)
    • Warpage
    • Curvature
    • Topography

    Hysitron TI 990 TriboIndenter

    Hysitron TI 990 TriboIndenter® operates at the intersection of maximum performance, flexibility, reliability, usability, and speed. It builds upon decades of Hysitron® technological innovation to deliver new levels of extraordinary performance, enhanced capabilities, and ultimate versatility in nanomechanical characterization. The Hysitron TI 980 is everything a superior nanomechanical test instrument needs to be, achieving remarkable advances in control and throughput  capabilities, testing flexibility, applicability, measurement reliability, and system modularity.

    • Maximized Characterization Potential
    • Performech ® II Advanced Control Module
    • Multiple Head Measurement Synchronicity
    • nanoDMA III:Dynamic Nanoindentation
    • XPM:Accelerated Property Mapping
    • SPM + Imaging for Superior Nanomechanical Testing Results
    • Powerful System Control and Analysis

    Stylus Profilometer Dektak XT

    The DektakXT® stylus profilometer features a revolutionary benchtop design that enables an unmatched repeatability of 4Å and up to 40% improvement in scanning speeds. This major milestone in stylus profiler performance is the culmination of over fifty years of Dektak® innovation and industry leadership. Through its combination of industry firsts, DektakXT delivers the ultimate in performance, ease of use, and value to enable better process monitoring from R&D to QC. The technological breakthroughs incorporated in DektakXT enable critical nanometer-level surface measurements for the microelectronics, semiconductor, solar, high-brightness LED, medical, and materials science industries.

    Principal:

    A stylus profiler is a contact measurement system; a stylus scans a small tip over the surface to examine the stylus vertical displacement as the tip traces the surface topography.

    • N-Lite+ Low Force with 0.03 to 15 mg (optional)
    • The probe is attached to the sensor via a magnet for easy and risk-free operation.
    • IS3 low inertia LVDT Sensor,Stable and calibration-free under normal operation
    • 3.1M Pixel color camera
    • Arch-arm design makes the system more stable and reliable
    • Can achieve the industry's best repeatability of 5 angstroms, 1σ on 1um steps
    • Vision 64 System significantly speeds up and simplifies data analysis process

    Stylus Profilometer Dektak XTL

    The Dektak XTL builds upon over 50 years of stylus expertise and application customization for production facilities to meet the stringent industry roadmaps of both today and tomorrow. The 300-millimeter, high-accuracy encoded XY staging gives manufacturers a reliable tool to meet stringent gage R&R requirements. Dektak’s Dual Camera Control with high-magnification dual view cameras offers enhanced spatial awareness. Point-and-click positioning in the live video allows operators to quickly place samples at the right location for quick and easy measurement setup and automation programming. The system's large interlocked door provides safe and easy access for sample loading/unloading.

  • Machine Vision Products, Inc. (MVP) is continuing to uphold its reputation for innovative engineering in the vision inspection industry. MVP is the worlds leading supplier of measurement Based Automated Optical Inspection (AOI) Solutions for SMT, SPI, Microelectronics and Packaging companies. From the inception of the very first AutoInspector AOI product in 1986 MVP has been leading the market with innovative and performance based solutions. MVP’s leading software allows for system deployment in many manufacturing environments.

    2030 DWMS

    MVP’s 2030 DWMS (Die Wire Metrology System) is a new dedicated lead frame inspection AOI and uses advanced optic and handling solutions to provide the latest in Die and Wire-Bond inspection. Inspection techniques include high-resolution telecentric imaging, quad color lighting, and 3D techniques to provide the maximum defect, and measurement capabilities for Lead Frame, Die Epoxy, and Wire Bond inspection.

    MVP’s 2030 DWMS AOI system is configured as standard with integrated MVP leadframe magazine loaders and unloaders designed for error free operation at the highest of UPH demands.

    Key Features

    • Integrated Magazine Loaders/Unloaders
    • UPH capability in excess of 150,000
    • Die Placement Metrology
    • Epoxy Spread and Bridging
    • Die Surface, Edge Crack Detection
    • Au, Al, Ag, and Cu Wires to 1um Resolution
    • Leadframe Integrity
    • SMT Components
    • Certified for SEMI S2, S8 and IEC 61010-1

    Options

    • Automatic Defect Assignment
    • AutoWidth
    • Optional 3D Epoxy Height Measurement
    • SECS/GEM

    Post Inspection Options

    • Punch Out
    • Wire Grip and Rip
    • Ink Marking
    • XML Mapping
    • SECS/GEM E142 Format eMapping


  Products

  • Sonix
    Scanning Acoustic Microscopes for Wafers and IC Packages...

  • Sonix began business in 1986 in Springfield, Virginia. Sonix has been the technology leader for the advanced scanning acoustic microscopy used in packaged semiconductor and wafer inspection services. We were the first to introduce PC-based digital imaging solutions, and since our founding we have consistently led the way with innovations that support continuous improvement in our customers’ products and processes.

    SONIX™ systems are used for the non-destructive inspection of a variety of materials including semiconductor packages, automotive parts and other advanced components. Based on proprietary softwares, hardwares and patented innovations, Sonix’s systems have evolved over many years of experience working with customers.  Sonix strives to provide the most accurate data, the perfect image quality, exceptional maneuverability and high reliability of the equipment, so as to improve the efficiency and cost effective.

    AutoWafer Pro™

    A flexible, automated tool designed for production, AutoWafer Pro provides fast, high-resolution scanning of 200mm and 300mm bonded wafers. It's the ideal solution for identifying bond defects in wafer applications such as MEMS, BSI Sensors, CMOS, memory, TSV and LED.

    • Fully automated robotics using open cassettes, SMIF Pod, FOUPs or FOSB handling
    • Class 1 clean room compliant, with integrated HEPA filter
    • 200mm and 300mm SECS/GEM & KLARF compatible (optional)

    ECHO-VS™

    SONIX Echo-VS is a new generation of equipment, designed for greater precision, more complex components. It is used in Flip chips, Stacked die, Bumped die, Bonded Wafers.

    • High-resolution, Throughput package available as option for production needs
    • Unique waveform simulator (Waveform Simulator) and the beam simulation (Beam Emulator)
    • Scan resolution of less than 1 micron
    • The water temperature control system and ultraviolet disinfection system, the signal is more stable

    ECHO-LS™

    The ECHO provides non-destructive scanning acoustic microscopy to simplify testing, increase yield and maximize productivity in the lab or on the production floor.

    A robust, universal, inspection tool for overmolded single-die packages, bare flip chips and other standard applications

    • Imaging of defects as small as 5 microns
    • Transducers from 15MHz through 300MHz, designed and matched in-house to address all types of applications and materials
    • Stacked Die Imaging (SDI) (optional)
    • Molded Flip Chip Imaging (MFCI) (optional)

    Ultra-sound Scanning Mode:A-Scan, B-Scan, C-Scan, Multi-scan, Tray-scan,Jump-scan, HTS, Tami-Scan

    Max. resolution:10000 x 10000 pix

    Max. scan area:350mm x 350mm

    Max. serve velocity:1000 mm/s, 10000 mm/s2

    Transducer frequency range:100 to 300 MHz

    System dimension:31" x 31" x 48" (W x D x H)

  • Akrometrix
    Thermal Warpage & Strain Metrology...

  • Akrometrix AXP 2.0

    Shadow Moiré Technology
    Shadow Moiré is a non contact, full field optical technique that uses geometric interference between a reference grating and its shadow on a sample to measure relative vertical displacement at each pixel position in the resulting image. It requires a Ronchi-ruled grating, a white line light source at approximately 45℃ to the grating and a camera perpendicular to the grating. Its optical configuration integrated with the heating chamber is shown in the figure to the right.

    The new generation of surface measurement and analysis techniques
    Development/Diagnostics /Production Monitoring. Whether your customer is the individual consumer or an OEM, the TherMoiré excels at helping you achieve exceptional customer satisfaction.Better than ever, TherMoiré technology can simulate your thermal processing and operating environment conditions, while capturing a complete history of out-of-plane displacement behavior. Applying this critical information to form - or achieve compliance for - component/substrate behavior allowances (i.e. warpage specifications) can directly impact level I & level II assembly yields and enhance product reliability. Below data could be measured: Coplanarity, Sign warpage, Fulfill warpage, Twist, Bow, CTE, etc.

    TherMoiré AXP2.0 Feartures:
    • Measures surface warpage via Shadow Moiré technique
    • Warpage resolution <1 μm
    • Temperature range of -50°C to 300°C
       - Thermal ramp rates up to >3.5°C per second
    • Capable of up to 400mm x 400mm samples
       - Measurement FOV 375mm x 375mm
       - Minimum sample size of 3mm x 3mm
    • Full field measurement capture, < 2 seconds
       - Up to 1.4 million data points
    • Automated Part Tracking for multiple samples per measurement cycle

    Top/Bottom Heating Chamber
    In addition to the above features for AXP, 2.0 adds up and down two heating zones, with the following features:

    • Top and Bottom Heating Capacity with Top/Bottom/Lateral Uniformity <±5ºC
    • Capable to measure unpainted surface
    • Dual CoolBoost from Front and Back and Triple Exhaust Channel from Left, Right and Bottom creating faster and uniform cooling effect.

    Digital Fringe Projection - DFP2 Module
    New Standalone Product that provides pure convection heating for assembly reflow simulation
    Application - Solder ball coplanarity measurement, discontinuous surface & socket measurement

    • Enables step height and discontinuous surface measurement
    • FOV can be moved to anywhere on the sample (gantry fixture)
    • Improved top/bottom temperature uniformity – no grating
    • High X/Y resolution for solder ball coplanarity measurement

    Digital Image Correlation - DIC2.0 Module
    • Add-on module : AXP2.0 & PS200S
    • Strain and CTE measurement module
    • Data acquisition time < 1 second
    • In-Plane Resolution: <1.0 μm
    • Strain Resolution: <100 microstrain (Δ L/L x 10-6)
    • Temperature Range: 26°C to 300°C
    • Field of View: 75mm x 75mm

    Convective Module – CM
    The Convective Module is designed to:ConvectiveModule

    • Cool samples down to sub-room temperatures as low as -50°C.
    • Use convective heat transfer to also attain reflow temperatures
    • Focus is on reliability style testing as reflow simulation in terms of heating rates and temperature uniformity is not on par with CRE6

    Convective Reflow Emulation Module - CRE-6
    Combines maximum resolution capabilities of the AXP with improved temperature uniformity
    • Most accurately emulate production reflow conditions for semiconductor packages
    • + 3.0 °C/sec heating rate
    • Sample temperature uniformity +/- 2 °C
    •  70 mm diameter circular field of view

    Akrometrix Studio 9.0
    Akrometrix Studio is an advanced set of integrated software modules that work together to provide the most comprehensive set of surface characterization and analysis capabilities available. When used with the TherMoiré AXP surface measurement system, Studio powers a set of modular metrology solutions that generate fast, comprehensive surface information characterizing a wide range of microelectronic components and assemblies. Studio enables the user to draw conclusions and make decisions using a variety of powerful graphical and analytical analyses.

    Studio 9.0 Key Features:
    • RTA Included
    • New Product Support
    • New Gauges
    • New Surface Measurement & Thermal Profiler
    • New Surface Analysis Features
    • + more minor feature additions and bug fixes

    New Gauges :
    Max Warpage Gradient (MWG): Quantifies the highest slope area of the data set by looking at height change in a small area around each data point. Primarily useful for comparison of data sets. Only a single highest value is reported.

    Average Phase Amplitude (APA): Measurement quality metric showing the average amplitude of the phase curve calculated for each point in the data set. Higher values indicate better overall measurement resolution. This same math is used in phase amplitude thresholding where lower values are masked from the data set.

    Average Phase Modulation (APM): Similar measurement quality metric as APA normalized across the dynamic light range of the image. Higher is still better, with values approach 1 in best case.

    Real Time Analysis (RTA) ifor Studio Software

    • Enables user-defined ‘Pass/Fail’ limits applied to measurement results
    • Visual Pass/Fail indicators immediately after measurement
    • Works with Part Tracking for Multiple Part Testing
    • Works at Room Temperature and During Thermal Profile
    Combines High Volume Testing with Go/No Go Criteria

  • Kayaku Advanced Materials
    Photoresists (For Lift-Off, MEMS, E-beam Lithography, Compound Semiconductor, Wafer Bonding and Wafer Level Packaging)...

  • Permanent Photo-Patternable Epoxies

    SU-8 3000 Series - Permanent Epoxy Negative Resists
    • High aspect ratio imaging
    • i-line processing
    • Near vertical sidewalls
    • Wide range of film thicknesses in a single coat:
    ~ 5-50μm with SU-8 3000 series
    • High thermal and chemical stability
    • SU-8 3000: improved adhesion and flexibility
    • Wide application space: MEMS, microfluidics, opto-electronics, displays etc.

    SU-8 TF 6000 Series - High resolution thin resists
    • Photoimageable thin film (0.5 to 10μm) with high resolution patterning capability
    • Low temperature cure (<150°C), photothermal or thermal only cure
    • Improved adhesion, increased flexibility & Excellent coat quality vs. SU-8 3000

    KMPR 1000 Series - Temporary Epoxy Negative Resists
    • High aspect ratio imaging with vertical sidewalls
    • Up to 100μm in a single spin coat
    • Compatible with standard aqueous develops
    • Reduced cracking
    • Excellent metal adhesion
    • Excellent plating bath stability

    PermiNex™
    Permanent Wafer Bonding Adhesives for Non-Hermetic Applications
    PermiNex™ 1000 - Solvent base
    PermiNex™ 2000 - Aqueous base
    • Negative-tone, photo-imageable adhesives
    • Low temperature processing (< 200°C)
    • Good patterning resolution with up to 3:1 achievable aspect ratio
    • High quality, void free bonding at 150°C/0.58MPa-30s
    • Superb adhesion to Silicon and Glass

    Permanent Photo-Patternable Polymers

    KMSF™ 1000 Low Stress Dielectric Photoresist
    Material Attributes:
    • Negative tone, photo-imageable resist
    • Low temperature cure (≤ 175 ˚C)
    • i-Line /broadband sensitivity, 1:1 aspect ratio
    • Low residual stress and ultra-low warpage
    • High elongation to failure and moderate tensile strength
    • Excellent resistance to standard chemicals
    • Low shrinkage on cure and good thermal stability
    • Good adhesion to Si, SiO2, SiN, Cu and PI
    • Applications: Stress buffer, passivation, encapsulation, RDL

    KMSF™ 2000 Low Dk/Df Photo-dielectric
    Material Attributes:
    • Negative-tone, photoimageable dielectric
    • Low temperature cure( ≤ 200°C)
    • i-Line /broadband sensitivity, 1:1 aspect ratio
    • 5-10 μm film thickness after cure
    • Solvent development in PGMEA
    • Good thermal and chemical stability
    • Can replace polymide film
    • Application: Wafer Level Package

    Temporary Lift-Off Resists

    PMGI & LOR Bi-Layer Lift-Off Resists
    Material Attributes:
    • Enables high resolution (<0.25μm) metallization lift-off
    • Enables thick metal deposition (>3μm)
    • Supports high volume, high yield production prcoesses
    • Excellent adhesion to Si, NiFe, GaAs, GaN and other III-V compounds
    • Clean lift-off, even after very high temperature processing

    LOR C
    Advanced formulation for topgraphy and concentional ERB systems:
    • Suitable for bi-layer lift-off processes on substrates with topography; improved trench- fill ability, no formation of voids/bubbles in trenches that cause issues  during subsequent metalization step.
    • Elimination of cobweb & whisker formation on wafers and build-up in spin bowl.
    • Compatible with Ethyl Lactate and EBR PG EPRs.
    • Controlled undercut and simple bi-layer process.
    • Similar thermo-mechanical properties to LOR A and LOR B.

    UniLOR™ N - Negative Photoresists for Single Layer Lift-off Processes
    •Negative tone, chemically amplified resists. Resolution down to 2 μm
    •1 to 5 μm film thickness in a single coat
    •I-Line/Broadband sensitivity, 1 : 1 aspect ratio capability
    •Adjustable sidewall profile angle
    •Aqueous alkaline development (standard 0.26N TMAH developers)
    •Suitable for metal evaporation physical vapor deposition
    •Clean removal with standard photoresist removal chemistries
    •Pattern thermal stability up to 200°C
    •Good adhesion to various substrates

    PMMA & Copolymer (MMA (8.5) MAA)
    PMMA (polymethacrylate) is a polymeric material well-suited for many imaging and non-imaging microelectronic applications. PMMA resists are PMMA polymers of specific molecular weights that are dissolved in a solvent, such as anisole (a safer  solvent) and then filtered. Exposure, direct write e-beam or X-ray typically, causes a chain scission of the polymer, resulting in a solubility differential between the  exposed and unexposed regions of the resist film, leading to very high resolution patterning. PMMA is commonly used for direct write e-beam processes such as T-gate fabrication. PMMA is also used for temporary wafer bonding processes such as wafer thinning, where it’s used as a protective layer and the temporary adhesive.
    • Well suited for direct write e-beam & X-ray exposure
    • High resolution: <0.1μm
    • Wide range of molecular weights and viscosities available
    • Developer: MIBK: IPA
    • Solvent systems: (A) anisole & (C) chlorobenzene
    • Applications include e-beam writing, multi-layer T-gate lift-off, wafer thinning, etc.

    Copolymer resists are based on a mixture of MMA and 8.5% methacrylic acid. Copolymer (8.5) MAA is commonly used in combination with PMMA in bi-layer lift-off processes where independent CD control of the bi-layer resist stack is required. 

    Temporary Plating Resists

    TempKoat™ N 15 - Thick, Negative-tone Temporary Resist
    • Negative-tone, chemically amplified resist
    • 7 to 20 μm film thickness in a single coat
    • i-Line/broadband sensitivity, 2:1 aspect ratio capability
    • No hydration or latency delay
    • Aqueous alkaline development
    • Compatible with typical microbump and RDL plating chemistries
    • Clean and easy removal with standard photoresist removers

    TempKoat™ P 20 - Thick, Positive-tone Temporary Resist
    • Positive tone, chemically amplified resist
    • 10 to 40 μm film thickness in a single coat
    • i-Line/broadband sensitivity, 3:1 aspect ratio capability
    • No hydration or latency delay
    • Aqueous alkaline development
    • Compatible with typical microbump and RDL plating chemistries
    • Clean and easy removal with standard photoresist removers

  • Comet Yxlon
    X-Ray Inspection Systems, High Resolution Industrial Horizontal CT...

  • As a division of Comet – a leading corporation with a focus on plasma control and X-ray technology – Comet Yxlon benefits from Comet's prime market position, financial strength, and many support functions. The close, but independent cooperation between our divisions helps reduce complexity and increase development times.

    Develop high-end X-ray and CT system solutions that enable non-destructive testing in the semiconductor/electronics, automotive, and aerospace industries – from R&D labs to production settings.

    FF35 CT

    FF35 CT highlights

    • Single or dual tube configuration for highest versatility in laboratory micro-CT applications
    • Switch between 225 kV micro-focus and 190 kV nano-focus tubes in seconds with the touch of a single button
    • Accurate results due to granite-based manipulation and air conditioning
    • Application flexibility due to various CT trajectories and FoV extensions via software platform Geminy
    • Optional metrology version with an MPESD = 5.9 µm + L/75 [L in mm]
    • Available as FF35 CT SEMI version in compliance with semiconductor market standards
    • NEW! Maximum sample weight now up to 50kg - Also available as an upgrade

    Flexible inspection of very small to medium-sized parts

    The Comet Yxlon FF35 CT, FF35 CT Metrology, and FF35 CT SEMI cover an extraordinary range of applications. Capabilities include improved material testing in the R&D department, optimization of process control and small series inspection as well as various scientific applications. The dual X-ray tube set-up enables the high-resolution CT system to significantly expand quality assurance and research possibilities in the automotive, electronics, aviation, and material science industries.

    CA20

    CA20 highlights

    • Designed for the semiconductor industry
    • Non-destructive technology for three-dimensional insights into solder bumps within minutes
    • Repeatable results through reliable and accurate technology, designed to support a stable inspection routine
    • Efficient software-assisted review including automated void analysis with Void Insights
    • Dose Manager for the protection of X-ray sensitive components

    CA20 – The fastest way from R&D to ROI

    The semiconductor sector is a speed-driven industry. In the race for innovation every day counts. As an inspection system specifically developed for the challenges of complex 3D ICs in Advanced Packaging, the CA20 helps you keep the pace – and to stay ahead of the game. The CA20 enables an accelerated verification of new packaging process node prototypes: The faster you find the root cause of ramp-up issues and fix them, the faster you’ll reach the desired yield – and, thus, the Return-on-Invest (ROI).

    Cheetah EVO

    Cheetah EVO highlights

    • Reliable, fast, and repeatable inspections – manually and automatically
    • Automatic void calculation with VoidInspect
    • Easy-to-use, dynamic enhancing filters, e.g., eHDR
    • Best available laminography with micro3Dslice and FF CT software
    • Dose reduction kit, dose monitoring, and low dose detector mode for sensitive components
    • Optional new water-cooled X-ray tube for a stable focal spot
    • Optional high load capacity (< 20 kg)

    The optional water-cooled FXT 160.51 X-ray tube

    Inspectors know the problem: long scan times often lead to image distortions and question test repeatability due to labile results. It's an effect caused by the rising temperature of the tube housing and the target, which can lead to focal spot drift. The new water-cooled X-ray tube counteracts this phenomenon. It provides reliable heat dissipation and ensures a stable focal spot and crystal-clear X-ray images even after long beam times. You achieve reliable inspection results for the first scan just as for the umpteenth and can rely on the repeatability of the X-ray inspection at any time.

  • Advantest Corporation
    Time Domain Reflectometry (TDR)...

  • Advantest provides one-stop shopping for the test systems, test handlers, and device interfaces which are essential to semiconductor package test. Support globally distributed semiconductor supply chains from locations around the world. American market research firm VLSIresearch’s annual customer satisfaction survey has named Advantest for 32 consecutive years as a member of the "10 BEST" semiconductor manufacturing equipment suppliers, recognizing us as a supplier with excellent customer satisfaction.

    TS9001 TDR System

    The system accurately analyzes the wiring quality of various leading-edge semiconductor packages such as Flip Chip BGA, wafer level packages, and 2.5D/3D ICs using terahertz technology. It is a TDR analysis system that has the world’s top-class signal quality.

    Feature

    As semiconductor packages grow smaller and become more highly integrated, there is a growing need to locate faults non-destructively and with a high degree of precision. Therefore, it is necessary to have a system that can easily create the optimal analysis environment according to diverse fault analysis conditions.

    The TDR analysis of the TS9001 TDR system conducts rapid, high-precision, and non-destructive analysis of faulty areas of the wires in cutting-edge semiconductor packages, electronic components, and printed boards by performing high-resolution TDR measurement (time domain reflectometry) utilizing our proprietary short pulse signal processing technology.

    • Capable of conducting a fault analysis for 2.5D_IC and 3D_IC

    Resolution for faulty area detection: < 5μm

    • Automated TDR measurement

    By using the auto touch-down function of the auto prober, the system conducts precise and reproducible measurements, contributing to the reduction of human errors.

    • DUT temperature control available (TS9001 + external prober connection)

    (Example)SUMMIT200 by FFI (high/low temperature model: -60 ℃ to 300 ℃)

    • A variety of analysis software available

    Failure Position Viewer, which indicates the faulty areas on the CAD data, is provided. (Optional)

Categories

For Technical Support with this webpage, please contact support.