KLA Corp (SPTS Division)

Newport, 
United Kingdom
http://www.spts.com
  • Booth: M0248
  • - 4th Floor


We look forward to meeting with you at our booth, #M0248

KLA Corporation is a leading supplier of wafer processing, process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare wafer, IC, reticle and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing.

Products and services include etch and deposition processes, inline unpatterned and patterned wafer defect inspection, review and classification; reticle defect inspection and metrology; packaging inspection and die sort; critical dimension (CD) metrology; pattern overlay metrology; film thickness, surface topography and composition measurements; measurement of in-chamber process conditions; wafer shape and stress metrology; computational lithography tools; and, overall yield and fab-wide data management and analytics.


 Products

  • SPTS Sigma® PVD
    The Sigma® PVD range supports wafer sizes from 100-300mm for the deposition of thin films for a range of applications such as UBM/RDL metallization, AlN/AlScN deposition for BAW filters or piezoMEMS, and thick aluminium deposition for power devices....

  • The Sigma® PVD product range supports wafer sizes from 100mm to 300mm for the deposition of thin films for a range of applications such as UBM/RDL metallization, AlN/AlScN deposition for BAW filters or piezoMEMS, and thick aluminium deposition for power devices.

    In addition to the Standard sputter modules for low topography features, SPTS also offers its Advanced Hi-Fill (AHF) PVD – and ionized sputter source for deposition into high aspect ratio features. Other unique system features allow for the deposition of metal films with low Rc, plus excellent thickness and stress control.

  • SPTS Omega® Plasma Etch
    SPTS Omega® product range includes a choice of plasma etch modules for si DRIE, standard ICP and the enhanced Synapse module designed for high rate etching strongly bonded materials such as SiOx and SiC....

  • Si DRIE

    With an installed base of >1500 DRIE process modules, SPTS’s industry-leading position is spearheaded by the Rapier™ module, which etches Si using Bosch switched processing as well as non-switched etching for tapered profiles, wafer thinning and via reveal.

    Patented dual plasma source design with independently controlled primary and secondary decoupled plasma zones, with independent dual gas inlets. This results in a highly concentrated and uniformed distribution of radicals offering high etch rate, excellent uniformity and controls tilting of deep features across the wafer.  Inherent multi-mode flexibility also allows complementary oxide etching within the same hardware.

    ICP

    SPTS ICP process module is highly flexible and etches a wide range of materials including oxides, nitrides, polymers, low aspect ratio Si and metals. The Omega® ICP process module uses a patented high density plasma source incorporating a radial coil design. The ICP module is the industry leader for compound semiconductor applications.

    Typical materials include GaAs, GaN, SixNy, BCB and Polyimide.

    Synapse

    Omega® Synapse™ etch process module uses a high density plasma source and is designed to etch strongly bonded materials SiO2, Glass, SiNx, SiC, GaN, Al2O3, PZT and AlN,

    Higher plasma density means higher etch rate of strongly bonded materials and the capability of running at reduced pressure. The latter extends mean free paths and leads to better directionality and less by-product ‘fencing’.

    The process chamber can be heated to ~130ºC to reduce the amount of by-product deposition and improve MTBC. The chamber is also surrounded by permanent magnets which result in a higher plasma density than conventional ICPs (by a factor of ~10x).

  • SPTS Delta™ PECVD
    The Delta™ PECVD systems are used for low temperature deposition of dielectrics such as SiOx and SiN for a wide range of applications within MEMS, compound semiconductors, and advanced packaging...

  • The Delta™ PECVD systems are used for low temperature deposition of dielectrics such as SiOx and SiN for a wide range of applications within MEMS, compound semiconductors, and advanced packaging,

    Key Benefits

    • Wafer sizes from 75mm to 300mm
    • Radially symmetrical gas flow for superior wafer-in-wafer (WIW) uniformity
    • Up to 10 gas lines and optional on-board liquid delivery system
    • Mixed frequency plasma capability for stress tuning
    • Active platen cooling for critical, low temperature [<175°C] packaging applications
    • Single and multi-wafer preheat chamber options for de-gassing sensitive substrates