EV Group Inc.

7700 S River Pkwy
Tempe,  AZ  85284-1808

United States
https://www.EVGroup.com
  • Booth: 445


Visit us at booth #445 at SEMICON West 2021!

About EV Group (EVG)

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.


 Press Releases

  • EVG®320 D2W die preparation and activation system provides seamless integration with third-party die bonders; completes EVG’s equipment portfolio for end-to-end hybrid bonding for 3D/Heterogeneous Integration

    ST. FLORIAN, Austria, December 10, 2020 — EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the EVG®320 D2W die preparation and activation system—the industry’s first commercially available hybrid bond activation and cleaning system for die-to-wafer (D2W) bonding. The system incorporates all critical pre-processing modules needed for D2W bonding, including cleaning, plasma activation, die alignment verification and other essential metrology, and can be operated as a stand-alone system or integrated with third-party pick-and-place die bonding systems. Leveraging EVG’s decades of experience in hybrid bonding technology, the EVG320 D2W fills a critical need for innovative process solutions that can accelerate the deployment of heterogeneous integration and enable new generations of devices and systems such as high-bandwidth memory (HBM), logic-on-memory, chiplets, segmented and 3D system on chip (SoC) devices, and 3D stacked backside illuminated CMOS image sensors.

    Hybrid Bonding is an Enabling Process for Heterogeneous Integration
    Leading-edge applications such as artificial intelligence (AI), autonomous driving, augmented/virtual reality (AR/VR) and 5G require the development of high-bandwidth, high-performance and low-power-consumption devices without increasing production cost. As traditional 2D silicon scaling reaches its cost limits, the semiconductor industry is turning to heterogeneous integration—the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package—in order to increase performance on new device generations.

    Wafer-to-wafer (W2W) hybrid bonding, which involves stacking and electrically connecting wafers from different production lines, is a central process in heterogeneous integration and has a proven track record of success for certain applications such as CMOS image sensors and various memory and logic technologies. However, in cases where the components or dies are not the same size, D2W hybrid bonding offers a viable pathway to enabling heterogeneous integration. With its new D2W bonding solution, extensive experience drawn from its market-leading W2W hybrid bonding solutions and industry collaborations supported by its Heterogeneous Integration Competence Center™, EVG is well positioned to support D2W bonding applications.

    “For 20 years, EVG has continually set new standards for wafer-to-wafer hybrid and fusion bonding with the largest installed base of wafer bonding solutions worldwide,” stated Paul Lindner, executive technology director of EV Group. “We have already begun serving the needs of the emerging die-to-wafer market with a dedicated version of our established EVG GEMINI® FB system specially configured for die-to-wafer bonding. The new EVG320 D2W die preparation and activation system adds to our expertise in die-to-wafer bonding and completes EVG’s equipment portfolio for providing an end-to-end hybrid bonding solution to accelerate the deployment of 3D/heterogeneous integration. In the future, we anticipate that both wafer-to-wafer and die-to-wafer process flows will be required and run in parallel in numerous fabs for heterogeneous integration applications, providing many opportunities for EVG to support this critical and rapidly growing technology landscape.”

    Die-to-Wafer Process Flow
    Several different D2W bonding methods are available and are selected depending upon the application and customer requirements. In collective D2W (Co-D2W) bonding, singulated dies are placed on collective die carriers and then are transported to a target wafer for die transfer where a W2W hybrid or fusion bonding system, such as the GEMINI FB, are used to bond the dies to the target wafer. In direct placement D2W (DP-D2W) bonding, the singulated dies are bonded to the target wafer one by one using a pick-and-place flip-chip bonder. Plasma activation and cleaning of the surfaces of the dies on the handler wafer are essential steps for establishing a high-yielding bond and electrical interface between the dies and target wafer. This is where the EVG320 D2W activation system comes in.

    Product Details
    The EVG320 D2W is a highly flexible platform that features a universal hardware/software interface to enable seamless integration with third-party pick-and-place die bonding systems. It also can operate as a stand-alone system depending on integration and line balancing requirements. The system incorporates EVG’s advanced cleaning and plasma activation technology, which is available across its industry-standard W2W fusion and hybrid bonding platforms and has been proven in hundreds of installed modules worldwide. In addition, the EVG320 D2W features EVG’s alignment verification module (AVM), an integrated metrology module that provides direct feedback to the die bonder on critical process parameters, such as die placement accuracy and die-height information as well as post-bond metrology, for improved process control. Additional features include flexible substrate handling that can accommodate any type of die carrier or film frame that can support plasma activation, hybrid and fusion bonding cleanliness standards, and SECS/GEM standard support.

    Product Availability
    EVG is now accepting orders for the new EVG320 D2W die preparation and activation system, and offering product demonstrations at EVG’s Heterogeneous Integration Competence Center at its headquarters in Austria. For more information, please visit https://www.evgroup.com/products/bonding/die-to-wafer-bonding-systems/evg320d2w/.

    About EV Group (EVG)

    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

    Contacts:

    Clemens Schütte
    Director, Marketing and Communications
    EV Group
    Tel: +43 7712 5311 0
    E-mail: Marketing@EVGroup.com

  • EV Group Brings Maskless Lithography to High-Volume Manufacturing with LITHOSCALE

    LITHOSCALE® incorporates EVG’s MLE™ (Maskless Exposure) technology to bring the benefits of digital lithography to a wide range of applications and markets

    ST. FLORIAN, Austria, September 22, 2020—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the LITHOSCALE® maskless exposure system – the first product platform to feature EVG’s revolutionary MLE™ (Maskless Exposure) technology. LITHOSCALE was developed by EVG to address lithography needs for markets and applications requiring a high degree of flexibility or product variation, including advanced packaging, MEMS, biomedical and IC substrate manufacturing. LITHOSCALE combines high resolution with no exposure field limitations, powerful digital processing that enables real-time data transfer and immediate exposure, and a highly scalable design. The result is the world’s first maskless lithography system for high-volume manufacturing (HVM) with up to a 5X increase in throughput compared to existing maskless exposure systems in the market. EVG has already received multiple orders for LITHOSCALE and will begin shipping systems to customers later this year.

    Company executives will be available to discuss LITHOSCALE at SEMICON Taiwan, taking place this week at the Taipei Nangang Exhibition Center, Hall 1 (TaiNEX 1) from September 23-25.

    New Requirements for Lithography

    3D integration and heterogeneous integration are increasingly critical to enabling continuous improvements in semiconductor device performance. This had led to an increase in package complexity as well as in the number of package options available – driving the need for greater design flexibility and the ability to adopt both die- and wafer-level designs simultaneously in back-end lithography. MEMS manufacturing also poses challenges for lithography due to its complex product mix, which drives up mask/reticle overhead costs. In the IC substrate and biomedical markets, demand is growing for a higher degree of patterning flexibility to address a wide range of feature and substrate sizes. Rapid prototyping is also becoming more important in biotech applications, driving the need for more flexible, scalable and “ready-to-go” lithography approaches.

    Traditional mask-based lithography solutions are not practical for many of these applications, especially those requiring fast prototyping and testing of new product designs or highly customized solutions, where the cost and time needed for producing, testing and reworking a large volume of mask sets can quickly add up. Additionally, for advanced packaging, existing back-end lithography systems face difficulties with nonlinear, high-order substrate distortions and die-shift-related issues, especially after die reconstitution on the wafer in fan-out wafer-level packaging (FOWLP). At the same time, existing maskless lithography approaches do not offer the combination of speed, resolution and ease of use necessary for HVM environments.

    LITHOSCALE tackles the demand for design flexibility, high scalability and productivity, as well as low cost of ownership. Its mask-free approach eliminates mask-related consumables, while the tunable solid-state laser exposure source is designed for high redundancy and long life-time stability with virtually no maintenance and no re-calibration required. Powerful digital processing enables real-time data transfer and immediate exposure – avoiding hours of setup time for each digital mask layout as needed by other maskless lithography systems. The system is capable of individual die processing, while fast full-field positioning and dynamic alignment enable high scalability for a range of substrate sizes and shapes. The result is a highly versatile maskless lithography platform geared for a variety of micro-electronic production applications.

    “LITHOSCALE is a major achievement for EVG and firmly establishes our technical leadership in lithography while opening the door to a new world of opportunities for digital lithography,” stated Paul Lindner, executive technology director at EV Group. “LITHOSCALE has been designed from the ground up to be a highly flexible and scalable platform, which enables high-volume device manufacturers to finally realize the benefits of digital lithography. Demonstrations with our customers and partners have shown that the applications that can benefit from LITHOSCALE are wide ranging and growing by the day.”

    Product Details

    LITHOSCALE provides high-resolution (<2 microns L/S), stitch-free maskless exposure of the entire substrate surface without compromising throughput thanks to its powerful digital infrastructure, which enables on-the-fly (“load and go”) mask layout changes, as well as its multi-exposure-head configuration, which enables high-parallel processing to maximize throughput. LITHOSCALE’s ability to generate a stitch-free pattern for interposers exceeding current reticle sizes is especially useful for advanced devices with complex layouts needed for advanced graphics processing, artificial intelligence (AI) and high-performance computing (HPC). The system’s high precision is matched by its distortion-free optics and stage placement accuracy, which ensures seamless projection across the entire substrate. LITHOSCALE also employs dynamic alignment modes and die-level compensation with automatic focus – enabling it to adapt to substrate material and surface variations and maintain optimal overlay performance. LITHOSCALE accommodates a variety of substrate sizes and shapes (up to 300-mm-diameter wafers as well as rectangular substrates up to quarter panels) as well as different substrate and resist materials.

    For more information on LITHOSCALE and EVG’s MLE technology, visit https://www.evgroup.com/products/lithography/lithoscale-maskless-exposure-lithography-systems/lithoscale/.

    Contacts: 
    Clemens Schütte 
    Director, Marketing and Communications EV Group  
    Tel: +43 7712 5311 0 
    E-mail: Marketing@EVGroup.com

    David Moreno 
    Principal 
    Open Sky Communications 
    Tel: +1.415.519.3915 
    E-mail: dmoreno@openskypr.com

  • EV GROUP BRINGS HIGH-SPEED HIGH-PRECISION METROLOGY TO 3D HETEROGENEOUS INTEGRATION 

    EVG40 NT2 offers breakthrough metrology performance to accelerate implementation of wafer- and die-level hybrid bonding and maskless lithography 


    ST. FLORIAN, Austria, November 15, 2021—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the EVG®40 NT2 automated metrology system, which provides overlay and critical dimension (CD) measurements for wafer-to-wafer (W2W), die-to-wafer (D2W) and die-to-die (D2D) bonding as well as maskless lithography applications. Designed for high-volume production with feedback loops for real-time process correction and optimization, the EVG40 NT2 helps device manufacturers, foundries and packaging houses accelerate the introduction of new 3D/heterogeneous integration products as well as improve yields and avoid scrapping of highly valuable wafers. 

    EVG will showcase the EVG40 NT2 system for the first time at the SEMICON Europa tradeshow, taking place November 16-19 at the Messe München in Munich, Germany. Attendees interested in learning more can visit EVG in Hall B1, booth B1460. 


    Heterogeneous Integration Roadmap Driving New Metrology Needs 
    As traditional 2D silicon scaling reaches its cost limits, the semiconductor industry is turning to heterogeneous integration—the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package—in order to increase performance on new device generations. In W2W, D2W and D2D bonding, tight alignment and overlay accuracy is required to achieve good electrical contact between the interconnected devices. As interconnect pitches become tighter with each new product generation, wafer and die bond alignment and overlay processes must also scale accordingly, with greater accuracy and more frequent measurements to identify process problems when they occur in order to provide corrective action or the possibility for rework, leading to higher production yields. Maskless exposure, an innovative lithographic approach for 3D/heterogeneous integration, requires increasingly precise pattern fidelity and pattern overlay on highly warped and distorted wafers that often incorporate shifted dies—driving the need for metrology that delivers crucial information on die position. 
    “Process control is increasingly critical for leading-edge 3D and heterogeneous integration applications,” stated Dr. Thomas Glinsner, corporate technology director at EV Group. “The EVG40 NT2 represents a major breakthrough in metrology performance to meet the new demands for the advanced packaging industry. It provides not only greater overlay accuracy but also a significant boost in throughput to enable higher measurement density per wafer, giving more detailed feedback on hybrid bonding performance, for example. This new metrology solution rounds out EVG’s comprehensive portfolio of process solutions for 3D/heterogeneous integration, and complements our existing EVG40 NT system, which remains the de facto bond metrology standard for MEMS and complex photonic devices. The EVG40 NT2 is alreadyplaying a key role in several joint development projects underway at EVG’s Heterogeneous Integration Competence Center™.” 

    High-Precision, High-Throughput Metrology Performance 
    The EVG40 NT2 system provides highly precise measurements of critical bonding and lithography process parameters for current and future leading-edge 3D/heterogeneous integration applications. These measurements include: alignment verification and monitoring for W2W, D2W, D2D and maskless exposure processes; CD measurement; and multi-layer thickness measurement. It is a highly scalable system that features multiple measurement heads and a high-precision stage designed for high-throughput and high-accuracy (down to the low single-digit nm range) bonding and maskless exposure alignment verification. For alignment verification, the EVG40 NT2 generates an overlay model that can be used in a feedback loop for improving overall alignment. This reduces systematic errors and results in increased production yields. The system is compatible with multiple line optimization concepts for overlay feedback and die position feed-forward required by next-generation fabs supporting Industry 4.0 manufacturing.  
    Product Availability 
    EVG is now accepting orders for the new EVG40 NT2 automated metrology system, and offering product demonstrations at EVG’s Heterogeneous Integration Competence Center at its headquarters in Austria. For more information, please visit https://www.evgroup.com/products/metrology/.  
    About EV Group (EVG) 
    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, 
    lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com. 

    Contacts: 
    Clemens Schütte 
    Director, Marketing and Communications EV Group  
    Tel: +43 7712 5311 0 
    E-mail: Marketing@EVGroup.com

    David Moreno 
    Principal 
    Open Sky Communications 
    Tel: +1.415.519.3915 
    E-mail: dmoreno@openskypr.com


 Products

  • EVG®320 D2W
    EVG®320 D2W Automated Die Preparation and Activation System Industry’s first commercially available hybrid bond activation and cleaning system for direct placement (DP) die-to-wafer (D2W) bonding....

  • The EVG320 D2W is a highly flexible platform that features a universal hardware/software interface to enable seamless integration with third-party pick-and-place die bonding systems. It also can operate as a stand-alone system depending on integration and line balancing requirements. The system incorporates EVG’s advanced cleaning and plasma activation technology, which is available across its industry-standard W2W fusion and hybrid bonding platforms and has been proven in hundreds of installed modules worldwide. In addition, the EVG320 D2W features EVG’s alignment verification module (AVM), an integrated metrology module that provides direct feedback to the die bonder on critical process parameters, such as die placement accuracy and die-height information as well as post-bond metrology, for improved process control. It also features flexible substrate handling that can accommodate any type of die carrier or film frame that can support plasma activation, hybrid and fusion bonding cleanliness standards, and SECS/GEM standard support.
  • GEMINI® FB
    GEMINI® FB Automated Production Wafer Bonding System Integrated platform for high precision alignment and fusion bonding...

  • Vertical stacking of semiconductor devices has become an increasingly viable approach to enabling continuous improvements in device density and performance. Wafer-to-wafer bonding is an essential process step to enable 3D stacked devices. EVG's GEMINI FB XT integrated fusion bonding system extends current standards and combines higher productivity with improved alignment and overlay accuracy for applications such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning. The system features the new SmartView NT3 bond aligner, developed specifically for fusion and hybrid wafer bonding alignment requirements of < 50 nm.
  • Fusion and Hybrid Bonding
    Fusion and Hybrid Bonding for Engineered Substrates and 3D Device Integration...

  • Direct wafer bonding allows dielectric layers and activated functional groups to bridge between wafers with the help of hydrogen bridge bonds.  Pre-bonding takes place at room temperature and atmospheric condition.  During annealing, hydrogen bonds convert into covalent bonds.  This method is traditionally applied to engineered substrates and wafers stacks using full-area dielectrics.  The ambient pre-bonding enables high alignment accuracies (<100 nm) for 3D integration scenarios.  In parallel, metal pads can be bonded alongside the dielectric layer, allowing integrated electrical contacts.  This special case is called hybrid bonding with main applications found in CMOS image sensors, memory, and 3D system-on-chip (SoC).

Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".


For Technical Support with this webpage, please contact support.