Nikon Precision

1399 Shoreway Rd
Belmont,  CA  94002-4107

United States
http://www.nikonprecision.com
  • Booth: V3


Visit Nikon Precision Inc. at our SEMICON West virtual booth

Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography systems for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most comprehensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, FPD, LCD, OLED and TFH industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. In addition, Nikon offers advanced semiconductor inspection systems. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems in North America. For more information about Nikon, access our website at www.nikonprecision.com.


 Press Releases


 Products

  • Nikon NSR-S635E ArF Immersion Scanner
    The NSR-S635E ArF immersion scanner is integrated with the inline Alignment Station (iAS) and incorporates the latest technology advances. The S635E delivers world-class device patterning and productivity to satisfy 5 nm node requirements and beyond....

  • The industry-leading NSR-S635E ArF immersion scanner leverages the proven Streamlign platform, incorporating the latest developments in lens, autofocus, alignment, and defectivity minimization technology to deliver unparalleled multiple patterning performance and productivity. The S635E has demonstrated world-class single machine overlay (SMO) below 1 nm across a single lot in precision mode, as well as five lot data below 1.4 nm (Avg. +3σ) in standard mode. The S635E is integrated with the revolutionary Nikon inline alignment station (iAS) to optimize scanner on-product overlay performance and productivity. The NSR-S635E can process up to 275 wafers per hour. In addition, nozzle innovations prevent immersion defects, while enhanced overlay and focus stability contribute to maximized tool productivity and fab daily output. These elements ensure world-class device patterning and optimum fab productivity to satisfy 5 nm node requirements and beyond.

  • Litho Booster
    Litho Booster Standalone Alignment Station maximizes productivity and yield. It delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab....

  • The Litho Booster Standalone Alignment Station brings inline Alignment Station (iAS) capabilities to other scanners in the fab. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Whereas traditional process loops rely on feed back control, Litho Booster adds sophisticated feed forward correction capabilities. Litho Booster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order Litho Booster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.
  • Steppers and Metrology Solutions for MEMS Markets
    MEMS Stepper Lithography Solutions for MEMS, LED, and Packaging Applications satisfy diverse product/budget requirements....

  • Nikon continues to expand the MEMS Stepper product line to satisfy the unique photolithography requirements of Air Bearing Surface (ABS) fabrication for magnetic heads, Micro Electro Mechanical Systems (MEMS) and Light Emitting Diodes (LED). These steppers are also used in back-end processing, as well as optoelectronics, discrete semiconductors and crystal oscillator manufacturing. These specialized Nikon lithography solutions deliver maximum stepper yield at the lowest possible cost.

    Although MEMS do not have the same aggressive requirements for imaging or overlay capabilities that are demanded by semiconductors, they necessitate that the lithography systems are able to handle extremely warped wafers and very thick films, and accommodate significant step heights with reasonable overlay accuracy. In addition, bulk MEMS applications, Taiko-processed or bonded thin wafers often need precise alignment to marks located on the backside of the wafer surface. Nikon MEMS Steppers fully satisfy the critical requirements of these dynamic markets, and well over 160 systems are in use by customers around the world today. 

    Nikon also offers Both Side Measurement (BSM) Systems that provide dual-side overlay metrology for MEMS applications and more.

Categories


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".


For Technical Support with this webpage, please contact support.