Larg Weiland of PDF Solutions Wins Si2 Pinnacle Award

Larg Weiland, a technical fellow at PDF Solutions, has been honored with the quarterly Silicon Integration Initiative Pinnacle Award, which is presented for exceptional contributions to Si2’s success as a leading semiconductor research and development joint venture.

Imec.xpand Launches EUR 300M Fund Amid Global Race for Semiconductor Supremacy

Imec.xpand, an independent global venture capital fund, today announced the launch of a new EUR 300 million fund aimed at accelerating the growth of transformative semiconductor and nanotechnology innovations.

Synopsys and Samsung Electronics Collaborate to Achieve First Production Tapeout of Flagship Mobile CPU

Synopsys, Inc. today announced that Samsung Electronics has achieved successful production tapeout for its high-performance mobile SoC design, including flagship CPUs and GPUs, with 300MHz higher performance using Synopsys.ai full stack AI-driven EDA suite and a broad portfolio of Synopsys IP on Samsung Foundry’s latest Gate-All-Around (GAA) process technologies.

mechatronic systemtechnik GmbH Unveils Technology Center in Fürnitz

mechatronic systemtechnik GmbH (mechatronic), a global supplier of automation equipment for critical wafer handling, announced the opening of its new cutting-edge technology center in Fürnitz.

Semiconductor CMP Pad & Slurry Forecast

CMP consumables for metals to see large growth over next 5 years.

The Flipping Future: Advancements in Flip Chip Packaging

Flip chip technology makes it possible to replace conventional wire interconnections with a direct and strong bond between chips and substrates. The future of silicon packaging is anticipated to be significantly influenced by flip chip technology to satisfy the increasing need for electronics that are faster, smaller, and more efficient.

Intel Takes Next Step Toward Building Scalable Silicon-Based Quantum Processors

Research published in Nature demonstrates high qubit control fidelity and uniformity in single-electron control.

Purdue-Created Technology Makes 3D Microscopes Easier to Use, Less Expensive to Manufacture

3D microscopes are used in applications from the life sciences to semiconductor manufacturing. Now Purdue engineers are developing patented and patent-pending innovations making them work faster to capture even more 3D images and less expensive to manufacture.

Rogue Valley Microdevices’ Unveils 300mm MEMS Capability at its Upcoming Palm Bay, Florida Facility

CEO Jessica Gomez presents this week at the MEMS & Sensors Technical Congress.

Morse Micro Expands Presence in Taiwan with New Office

Morse Micro today announced the official opening of its new Taiwan branch. 

Worldwide Silicon Wafer Shipments Dip 5% in Q1 2024, SEMI Reports

Worldwide silicon wafer shipments decreased 5.4% quarter-over-quarter to 2,834 million square inches in the first quarter of 2024.

2024 IEEE Electronic Components and Technology Conference to Spotlight Cutting-Edge Microelectronics Packaging Technologies

The world’s leading forum for unveiling, discussing and exhibiting the latest advancements in microelectronics packaging and component science and technology is the IEEE Electronic Components and Technology Conference (ECTC).

Women MAKE Awards Recognizes GlobalFoundries’ Jennifer Robbins and Katelyn Harrison for Manufacturing Excellence

Last week, the Manufacturing Institute (MI)—the workforce development and education affiliate of the National Association of Manufacturers—honored two outstanding women from GlobalFoundries at their annual Women MAKE Awards

TSMC Certifies Ansys Multiphysics Platforms

Ansys today announced the certification of its power integrity platforms for TSMC’s N2 technology full production release.

StratEdge Takes High-Frequency Packaging Technology to New Heights at Upcoming Events

StratEdge Corporation is set to showcase its latest packaging technology for high-frequency applications at several industry conferences in May.

Great Strides in the Development of High Refractive Index Polymers for Optoelectronics

Researchers report a novel family of low-cost, sustainable polymers that could be useful for modern displays, photodetectors, and lighting devices.

Nordson Electronics Solutions Receives EM China Innovation Award

Nordson Electronics Solutions received the conformal coating equipment award from EM Asia China for the new ASYMTEK Select Coat SL-1040 conformal coating system, at an award ceremony during Productronica China, held March 21 in the Shanghai New International Expo Centre, Shanghai, China.

AMD’s Dr. Lisa Su Named Chief Executive Magazine’s 2024 CEO of the Year

Chief Executive magazine today announced that Dr. Lisa Su, CEO of AMD, has been named 2024 Chief Executive of the Year by her peer CEOs.

Gstar Announces Groundbreaking of Silicon Wafer Factory Construction in Indonesia

Recently, Gstar held a groundbreaking ceremony for its silicon rod and silicon wafer factory, marking the beginning of the rapid construction phase.

Celestial AI Announces Appointment of Diane Bryant to Board of Directors

Celestial AI, creator of the Photonic Fabric optical interconnect technology platform, today announced the appointment of Diane Bryant to the Company’s Board of Directors effective April 11, 2024.

Guerrilla RF Completes Strategic Acquisition of GaN Device Portfolio from Gallium Semiconductor

Guerrilla RF, Inc. has finalized the acquisition of Gallium Semiconductor’s entire portfolio of GaN power amplifiers and front-end modules.

Design Strategies Toward Plasmon-Enhanced 2-Dimensional Material Photodetectors

A research group from Southeast University provided a detailed overview of plasmon-enhanced 2D material photodetectors, mainly focusing on the clarification of different hybridization modes between plasmonic nanostructures and 2D materials.

Revolutionizing Memory Technology: Multiferroic Nanodots for Low-Power Magnetic Storage

In a significant milestone for multiferroic memory devices, a team of researchers led by Professor Masaki Azuma and Assistant Professor Kei Shigematsu from Tokyo Institute of Technology in Japan has successfully developed nanodots with single ferroelectric and ferromagnetic domains.

Featured Video

Is your semiconductor plant seeking methods to enhance sustainability in wafer manufacturing and water reclamation? The semiconductor sector faces two challenges: waste reduction and water usage. The use of on-line water analytics can assist in overseeing water quality in both wafer manufacturing and reclamation/reuse procedures. This video underscores the significance of monitoring the levels of conductivity, TOC, and microbes to identify impurities that may lead to rejected wafers. Monitoring these parameters also ensures the purity of water to improve wafer quality and yield. Furthermore, this video emphasizes the importance of monitoring the levels of dissolved oxygen, TOC, and pH in waste streams to optimize water recovery.

Featured Products

EVENTS

May

202406mayAll Day09ESTECH 2024Contamination Control • Environmental Test/Reliability • Nanotechnology FacilitiesPlanet Hollywood, 3667 S Las Vegas BlvdFeatured

202413mayAll Day16Advanced Semiconductor Manufacturing Conference — ASMC 2024Hilton Albany, 40 Lodge StreetFeatured

202414mayAll Day17Display Week 2024San Jose McEnery Convention Center, 408 Almaden BlvdFeatured

202416may1:00 pm5:00 pmWomen in Semiconductors — WIS 2024Hilton Albany, 40 Lodge StreetFeatured

202421mayAll Day22ITF World 2024Empowering tomorrow: 40 years of unrivaled nanotech collaborationFeatured

202428mayAll Day30SEMICON Southeast Asia 2024Malaysia International Trade & Exhibition Centre, Jalan Dutamas 2Featured

June

202412junAll Day143D & Systems SummitHeterogeneous Systems for the Intelligently Connected EraHilton Dresden Hotel

202423jun(jun 23)4:25 pm27(jun 27)4:25 pmDesign Automation Conference — DAC 2024Moscone Center, 747 Howard Street

July

202409julAll Day11SEMICON West 2024Moscone Center, 747 Howard StreetFeatured

202409julAll Day11FLEX Conference & ExhibitionMoscone Center, 747 Howard StreetFeatured