EV Group Inc.

7700 S River Pkwy
Tempe,  AZ  85284-1808

United States
https://www.EVGroup.com
  • Booth: 935


Visit us at booth #935 at SEMICON West 2022!

About EV Group (EVG)

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.


 Press Releases

  • EVG®40 NT2 offers breakthrough metrology performance to accelerate implementation of wafer- and die-level hybrid bonding and maskless lithography

    https://www.evgroup.com/company/news/detail/ev-group-brings-high-speed-high-precision-metrology-to-3d-heterogeneous-integration/

    ST. FLORIAN, Austria, November 15, 2021—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the EVG®40 NT2 automated metrology system, which provides overlay and critical dimension (CD) measurements for wafer-to-wafer (W2W), die-to-wafer (D2W) and die-to-die (D2D) bonding as well as maskless lithography applications. Designed for high-volume production with feedback loops for real-time process correction and optimization, the EVG40 NT2 helps device manufacturers, foundries and packaging houses accelerate the introduction of new 3D/heterogeneous integration products as well as improve yields and avoid scrapping of highly valuable wafers.

    EVG will showcase the EVG40 NT2 system for the first time at the SEMICON Europa tradeshow, taking place November 16-19 at the Messe München in Munich, Germany. Attendees interested in learning more can visit EVG in Hall B1, booth B1460.

    Heterogeneous Integration Roadmap Driving New Metrology Needs

    As traditional 2D silicon scaling reaches its cost limits, the semiconductor industry is turning to heterogeneous integration—the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package—in order to increase performance on new device generations. In W2W, D2W and D2D bonding, tight alignment and overlay accuracy is required to achieve good electrical contact between the interconnected devices. As interconnect pitches become tighter with each new product generation, wafer and die bond alignment and overlay processes must also scale accordingly, with greater accuracy and more frequent measurements to identify process problems when they occur in order to provide corrective action or the possibility for rework, leading to higher production yields. Maskless exposure, an innovative lithographic approach for 3D/heterogeneous integration, requires increasingly precise pattern fidelity and pattern overlay on highly warped and distorted wafers that often incorporate shifted dies—driving the need for metrology that delivers crucial information on die position.

    “Process control is increasingly critical for leading-edge 3D and heterogeneous integration applications,” stated Dr. Thomas Glinsner, corporate technology director at EV Group. “The EVG40 NT2 represents a major breakthrough in metrology performance to meet the new demands for the advanced packaging industry. It provides not only greater overlay accuracy but also a significant boost in throughput to enable higher measurement density per wafer, giving more detailed feedback on hybrid bonding performance, for example. This new metrology solution rounds out EVG’s comprehensive portfolio of process solutions for 3D/heterogeneous integration, and complements our existing EVG40 NT system, which remains the de facto bond metrology standard for MEMS and complex photonic devices. The EVG40 NT2 is already playing a key role in several joint development projects underway at EVG’s Heterogeneous Integration Competence Center™.”

    High-Precision, High-Throughput Metrology Performance

    The EVG40 NT2 system provides highly precise measurements of critical bonding and lithography process parameters for current and future leading-edge 3D/heterogeneous integration applications. These measurements include: alignment verification and monitoring for W2W, D2W, D2D and maskless exposure processes; CD measurement; and multi-layer thickness measurement. It is a highly scalable system that features multiple measurement heads and a high-precision stage designed for high-throughput and high-accuracy (down to the low single-digit nm range) bonding and maskless exposure alignment verification. For alignment verification, the EVG40 NT2 generates an overlay model that can be used in a feedback loop for improving overall alignment. This reduces systematic errors and results in increased production yields. The system is compatible with multiple line optimization concepts for overlay feedback and die position feed-forward required by next-generation fabs supporting Industry 4.0 manufacturing.

    Product Availability

    EVG is now accepting orders for the new EVG40 NT2 automated metrology system, and offering product demonstrations at EVG’s Heterogeneous Integration Competence Center at its headquarters in Austria. For more information, please visit https://www.evgroup.com/products/metrology/.

    About EV Group (EVG)

    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

  • EVG®7300 is the most advanced EVG solution to combine multiple UV-based processes, such as nanoimprint lithography (NIL), lens molding and lens stacking (UV bonding), in a single platform

    https://www.evgroup.com/company/news/detail/new-multi-functional-micro-and-nanoimprint-solution-from-ev-group-offers-unprecedented-flexibility-for-high-volume-optical-device-manufacturing/

    ST. FLORIAN, Austria, January 18, 2022—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the EVG®7300 automated SmartNIL® nanoimprint and wafer-level optics system. The EVG7300 is the company’s most advanced solution to combine multiple UV-based process capabilities, such as nanoimprint lithography (NIL), lens molding and lens stacking (UV bonding), in a single platform. This industry-ready, multi-functional system is designed to serve advanced R&D and production needs for a wide range of emerging applications involving micro- and nano-patterning as well as functional layer stacking. These include wafer-level optics (WLO), optical sensors and projectors, automotive lighting, waveguides for augmented reality headsets, bio-medical devices, meta-lenses and meta-surfaces, and optoelectronics. Supporting wafer sizes up to 300 mm and featuring high-precision alignment, advanced process control and high throughput, the EVG7300 meets the high-volume manufacturing needs for a variety of freeform and high-precision nano- and micro-optical components and devices.

    “With more than 20 years of experience in nanoimprint technology, EV Group continues to pioneer this critical field to develop innovative solutions to meet our customers’ evolving needs,” stated Thomas Glinsner, corporate technology director at EV Group. “The latest introduction to our family of nanoimprint solutions, the EVG7300, combines our SmartNIL full-field imprint technology with lens molding and lens stacking in a state-of-the-art system with the most precise alignment and process parameter control on the market—providing our customers with unprecedented flexibility for their industry research and production needs.”

    The EVG7300 system is offered as both a stand-alone tool as well as an integrated module in EVG’s HERCULES® NIL fully integrated UV-NIL track solution where additional pre-processing steps, such as cleaning, resist coating and baking or post-processing, can be added to optimize for particular process needs. The system features industry-leading alignment accuracy (down to 300 nm), which is enabled by a combination of alignment stage improvements, high-accuracy optics, multi-point gap control, non-contact gap measurement and multi-point force control. The EVG7300 is a highly flexible platform that offers three different process modes (lens molding, lens stacking and SmartNIL nanoimprint) and support for substrate sizes ranging from 150-mm to 300-mm wafers. Quick loading of stamps and wafers, fast alignment optics, high-power curing and a small tool footprint enable a highly efficient platform capable of serving the industry’s manufacturing needs for emerging WLO products.

    Product Availability

    EVG is currently accepting orders for the system, and product demonstrations are now available at EVG’s NILPhotonics® Competence Center located at the company’s headquarters. For more information on the EVG7300 automated SmartNIL nanoimprint and wafer-level optics system, visit https://www.evgroup.com/products/nanoimprint-lithography/uv-nil-smartnil/evg-7300/.

    EVG at SPIE AR/VR/MR 2022

    Next week, EVG is giving an invited talk on the benefits of NIL in manufacturing augmented reality waveguides at the SPIE AR/VR/MR Conference and Exhibition, co-located with SPIE Photonics West, which is being held at the Moscone Center in San Francisco on January 22-27. EVG is also an exhibitor at the event, and will showcase its advanced manufacturing solutions for optical and photonic devices and applications.

    About EV Group (EVG)

    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

  • EVG®320 D2W die preparation and activation system provides seamless integration with third-party die bonders; completes EVG’s equipment portfolio for end-to-end hybrid bonding for 3D/Heterogeneous Integration

    https://www.evgroup.com/company/news/detail/ev-group-unveils-hybrid-die-to-wafer-bonding-activation-solution-to-speed-up-deployment-of-3d-heterogeneous-integration/

    ST. FLORIAN, Austria, December 10, 2020 — EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the EVG®320 D2W die preparation and activation system—the industry’s first commercially available hybrid bond activation and cleaning system for die-to-wafer (D2W) bonding. The system incorporates all critical pre-processing modules needed for D2W bonding, including cleaning, plasma activation, die alignment verification and other essential metrology, and can be operated as a stand-alone system or integrated with third-party pick-and-place die bonding systems. Leveraging EVG’s decades of experience in hybrid bonding technology, the EVG320 D2W fills a critical need for innovative process solutions that can accelerate the deployment of heterogeneous integration and enable new generations of devices and systems such as high-bandwidth memory (HBM), logic-on-memory, chiplets, segmented and 3D system on chip (SoC) devices, and 3D stacked backside illuminated CMOS image sensors.

    Hybrid Bonding is an Enabling Process for Heterogeneous Integration
    Leading-edge applications such as artificial intelligence (AI), autonomous driving, augmented/virtual reality (AR/VR) and 5G require the development of high-bandwidth, high-performance and low-power-consumption devices without increasing production cost. As traditional 2D silicon scaling reaches its cost limits, the semiconductor industry is turning to heterogeneous integration—the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package—in order to increase performance on new device generations.

    Wafer-to-wafer (W2W) hybrid bonding, which involves stacking and electrically connecting wafers from different production lines, is a central process in heterogeneous integration and has a proven track record of success for certain applications such as CMOS image sensors and various memory and logic technologies. However, in cases where the components or dies are not the same size, D2W hybrid bonding offers a viable pathway to enabling heterogeneous integration. With its new D2W bonding solution, extensive experience drawn from its market-leading W2W hybrid bonding solutions and industry collaborations supported by its Heterogeneous Integration Competence Center™, EVG is well positioned to support D2W bonding applications.

    “For 20 years, EVG has continually set new standards for wafer-to-wafer hybrid and fusion bonding with the largest installed base of wafer bonding solutions worldwide,” stated Paul Lindner, executive technology director of EV Group. “We have already begun serving the needs of the emerging die-to-wafer market with a dedicated version of our established EVG GEMINI® FB system specially configured for die-to-wafer bonding. The new EVG320 D2W die preparation and activation system adds to our expertise in die-to-wafer bonding and completes EVG’s equipment portfolio for providing an end-to-end hybrid bonding solution to accelerate the deployment of 3D/heterogeneous integration. In the future, we anticipate that both wafer-to-wafer and die-to-wafer process flows will be required and run in parallel in numerous fabs for heterogeneous integration applications, providing many opportunities for EVG to support this critical and rapidly growing technology landscape.”

    Die-to-Wafer Process Flow
    Several different D2W bonding methods are available and are selected depending upon the application and customer requirements. In collective D2W (Co-D2W) bonding, singulated dies are placed on collective die carriers and then are transported to a target wafer for die transfer where a W2W hybrid or fusion bonding system, such as the GEMINI FB, are used to bond the dies to the target wafer. In direct placement D2W (DP-D2W) bonding, the singulated dies are bonded to the target wafer one by one using a pick-and-place flip-chip bonder. Plasma activation and cleaning of the surfaces of the dies on the handler wafer are essential steps for establishing a high-yielding bond and electrical interface between the dies and target wafer. This is where the EVG320 D2W activation system comes in.

    Product Details
    The EVG320 D2W is a highly flexible platform that features a universal hardware/software interface to enable seamless integration with third-party pick-and-place die bonding systems. It also can operate as a stand-alone system depending on integration and line balancing requirements. The system incorporates EVG’s advanced cleaning and plasma activation technology, which is available across its industry-standard W2W fusion and hybrid bonding platforms and has been proven in hundreds of installed modules worldwide. In addition, the EVG320 D2W features EVG’s alignment verification module (AVM), an integrated metrology module that provides direct feedback to the die bonder on critical process parameters, such as die placement accuracy and die-height information as well as post-bond metrology, for improved process control. Additional features include flexible substrate handling that can accommodate any type of die carrier or film frame that can support plasma activation, hybrid and fusion bonding cleanliness standards, and SECS/GEM standard support.

    Product Availability
    EVG is now accepting orders for the new EVG320 D2W die preparation and activation system, and offering product demonstrations at EVG’s Heterogeneous Integration Competence Center at its headquarters in Austria. For more information, please visit https://www.evgroup.com/products/bonding/die-to-wafer-bonding-systems/evg320d2w/.

    About EV Group (EVG)

    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.


 Products

  • GEMINI® FB
    Automated Production Wafer Bonding System / Integrated platform for high precision alignment and fusion bonding ...

  • Vertical stacking of semiconductor devices has become an increasingly viable approach to enabling continuous improvements in device density and performance. Wafer-to-wafer bonding is an essential process step to enable 3D stacked devices.  EVG's GEMINI FB XT integrated fusion bonding system extends current standards and combines higher productivity with improved alignment and overlay accuracy for applications such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning. The system features the new SmartView NT3 bond aligner, developed specifically for fusion and hybrid wafer bonding alignment requirements of < 50 nm.

    https://www.evgroup.com/products/bonding/fusion-and-hybrid-bonding-systems/gemin-fb/

    Features

    • New SmartView® NT3 face-to-face bond aligner with sub 50 nm wafer-to-wafer alignment accuracy
    • Up to six pre-processing modules like:
      • Clean module
      • LowTemp™ plasma activation module
      • Alignment verification module
      • Debond module
    • XT Frame concept for highest throughput with EFEM (Equipment Frontend Module)
    • Optional features:
      • Debond module
      • Thermocompression bond module
  • LITHOSCALE® Maskless Exposure Lithography Systems
    LITHOSCALE® is a revolutionary, highly versatile maskless exposure lithography platform geared for a variety of microfabrication applications accommodating wafers up to 300 mm....

  • Maskless exposure lithography systems represent an entirely new product platform of EVG lithography equipment.

    MLE™ technology is a revolutionary next-generation digital lithography technology developed by EV Group to address lithography needs for markets and applications requiring a high degree of flexibility or product variation, such as advanced packaging, MEMS, biomedical and IC substrate manufacturing. The world’s first highly scalable maskless lithography technology for high-volume manufacturing, MLE delivers unsurpassed flexibility to enable extremely short development cycles for new devices.

    https://www.evgroup.com/products/lithography/lithoscale-maskless-exposure-lithography-systems/

    Features

    • Wafer/substrate size up to 300 mm/12’’
    • Resolution capability < 2 µm L/S
    • Equipped with MLE technology featuring high-end diffraction-limited optics
    • Exposure spectrum of 375-nm and/or 405-nm wavelength; user definable either as single, broadband or any kind of wavelength mixture
    • Regularly monitored and auto-calibrated solid-state light source securing its long-life-time stability and high redundancy
    • Advanced alignment modes supporting top-side and bottom-side VIS and IR alignment capability
    • Depth of focus control (DoF) < 24 µm
    • Adaptive autofocus control (AF) < 100 µm
    • High-precision field proven alignment stage embedding high-tech mechatronics and calibration sensors for entire system stability
    • Advanced software features including:
      • Dynamic die-level annotation
      • Advanced distortion compensation
      • Mask-file transfer and recipe execution via host/flexible per each wafer
      • Layout transformation function
      • Alternative format files support: Gerber, ODB++, OASIS
    • Automated contactless wedge compensation sequence
    • Scalable solution accommodating R&D and high-volume manufacturing (HVM) needs in one system without adding to footprint
    • Consumables-free technology
  • EVG®40 NT2
    Automated Measurement System / High-Speed High-Precision Metrology for 3D and Heterogeneous Integration...

  • The EVG®40 NT2 automated metrology system provides overlay and critical dimension (CD) measurements for wafer-to-wafer (W2W), die-to-wafer (D2W) and die-to-die (D2D) bonding as well as maskless lithography applications. Designed for high-volume production with feedback loops for real-time process correction and optimization, the EVG40 NT2 helps device manufacturers, foundries and packaging houses accelerate the introduction of new 3D/heterogeneous integration products as well as improve yields and avoid scrapping of highly valuable wafers.

    https://www.evgroup.com/products/metrology/evgr40-nt2/

    Features

    • Highly precise measurements of critical bonding and lithography process parameters for current and future leading-edge 3D / Heterogeneous Integration applications
      • Alignment verification and monitoring for W2W, D2W, D2D
      • Alignment verification for maskless exposure processes
      • CD measurement and multi-layer thickness measurement
    • Highly scalable system that features multiple measurement heads and a high-precision stage designed for high-throughput and high-accuracy (down to the low single-digit nm range)

Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".