Nikon Precision

1399 Shoreway Rd
Belmont,  CA  94002-4107

United States
https://www.nikonprecision.com
  • Booth: 1855


Visit Nikon Precision Inc. at SEMICON West, Booth #1855

Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography solutions for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most comprehensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, FPD, LCD, OLED and TFH industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. In addition, Nikon offers advanced semiconductor inspection systems. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems in North America. For more information about Nikon, access our website at https://www.nikonprecision.com.


 Products

  • Nikon NSR-S635E ArF Immersion Scanner and iAS
    The NSR-S635E ArF immersion scanner is integrated with the inline Alignment Station (iAS) and incorporates the latest technology advances. The S635E delivers world-class device patterning and productivity to satisfy 5 nm node requirements and beyond....

  • The industry-leading NSR-S635E ArF immersion scanner leverages the proven Streamlign platform, incorporating the latest developments in lens, autofocus, alignment, and defectivity minimization technology to deliver unparalleled multiple patterning performance and productivity. The S635E has demonstrated world-class single machine overlay (SMO) below 1 nm across a single lot in precision mode, as well as five lot data below 1.4 nm (Avg. +3σ) in standard mode. The S635E is integrated with the revolutionary Nikon inline Alignment Station (iAS) to optimize scanner on-product overlay performance and productivity. The NSR-S635E can process up to 275 wafers per hour. In addition, nozzle innovations prevent immersion defects, while enhanced overlay and focus stability contribute to maximized tool productivity and fab daily output. These elements ensure world-class device patterning and optimum fab productivity to satisfy 5 nm node requirements and beyond.

  • Litho Booster
    Litho Booster Standalone Alignment Station maximizes productivity and yield. It delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab....

  • The Litho Booster Standalone Alignment Station brings inline Alignment Station (iAS) capabilities to other scanners in the fab. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Whereas traditional process loops rely on feed back control, Litho Booster adds sophisticated feed forward correction capabilities. Litho Booster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order Litho Booster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.

  • Semiconductor Inspection Systems
    Nikon offers a suite of advanced semiconductor inspection systems to meet the most challenging post-develop and post-etch inspection requirements of today’s IC makers....

  • Nikon leverages over 100 years of optical design and manufacturing expertise to deliver OPTISTATION-3000 series inspection systems to meet the most challenging post-develop and post-etch inspection requirements of today‘s IC makers. OPTISTATION-3000 series products are available to satisfy a variety of fab configurations and wafer handling requirements. OPTISTATION-3000 series systems incorporate renowned Nikon CFI60-2 objectives, which produce excellent  images with high contrast and reduced flare. The OST systems also provide brightfield and darkfield micro inspection modes, and OST-3000 series systems  enable micro inspection across the total magnification range of 25x to 1,500x. Surface Macro, center wafer backside Macro, and perimeter wafer backside Macro inspection functions are all possible with OST-3000 series systems.

    Nikon also offers Automatic Inspection Systems that provide industry-leading macro inspection accuracy as well as expanded inspection capabilities. Nikon recently announced the next-generation AMI-5700 system, which supports sophisticated measurement capabilities in addition to inspection. The newly announced AMI-5700 provides industry-leading inspection sensitivity with capabilities to detect particles and scratches as small as 5 μm using add-on scattering inspection technology. It also detects a variety of pattern defocus conditions that are typically beyond the capabilities of traditional macro inspection tools. The industry-leading AMI-5700 system can be equipped with an innovative high-speed measurement function that supports CD, film thickness and focus measurements at speeds surpassing those of other methods. This enables the AMI-5700 to deliver superior throughput of 180 wafers/hour even when performing diffraction and scattering batch inspection of the entire wafer. The innovative AMI-5700 not only inspects, but also measures wafers and detects defects.

Categories


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".