Yole Group

75 Cours Emile Zola
Villeurbanne,  F-69100

France
https://www.yolegroup.com/
  • Booth: 1836


It is time to meet again, waiting for you on our booth #1836

ABOUT YOLE GROUP

Yole Group is an international company recognized for its expertise in the analysis of markets, technological developments, and supply chains, as well as the strategy of key players in the semiconductor, photonics, and electronics sectors. Yole Group's business is based on daily interactions with a network of leading operators in these industries, built on mutual trust over the years.

With a team of more than 180+ people across the world, Yole Group publishes market, technology, reverse engineering and costing analyses and provides consulting services in strategic marketing and technological research. The Yole Group Finance division also offers due diligence assistance and supports companies with mergers and acquisitions.

Driven by committed and curious people, Yole Group benefits from a network of technical knowledge within the supply chain and the industry to offer a global, unique, and proactive vision of the semiconductor and photonics industries and their evolution.


 Press Releases

  • Atomic Layer Deposition (ALD) equipment is penetrating all More than Moore applications[1]

    Yole Intelligence announces a 12% CAGR to reach US$680 million in 2026[2].

    OUTLINE:

    • Market trends:

    The ALD equipment market dedicated to MtM[3] applications, apart from CIS[4], is really taking off now for commercial device manufacturing.
    A 30% CAGR[5]2020-2026 is expected for ALD equipment used in the production of photonics devices.
    Over the same period, power and RF[6] devices will have 12% and 15% CAGRs, respectively.
    MEMS, sensors and CIS CAGRs will be less than 10% over this period.

    • Technology trends:

    ALD technology is ideal when high-quality nm-thick films have to be conformally deposited over complex structures.
    CIS and a small percentage of Si-based low-power devices follow manufacturing processes similar to logic and memory with production on similar 300 mm platforms.
    On the other hand, most MtM devices are manufactured with 200 mm platforms with ALD used to improve device performance.

    • Ecosystem:

    The ALD process is constantly being refined by all supply chain actors working in close collaboration.
    For equipment makers, entry to the ALD business can be achieved in two ways: by acquiring smaller start-ups or developing existing CVD equipment.
    Leading equipment manufacturers have long been present in the ALD business for logic and memory HVM. For example: Applied Materials, LAM Research, ASM International, TEL, and Kokusai Electric...
    Other smaller players, such as ALD specialized equipment vendors ( Picosun and Beneq) or vacuum systems vendors (Optorun, Veeco, Plasma-Therm, Oxford Instruments), are diversifying their lineup to address growing More than Moore application markets.

    “The worldwide fab capacity expansion is accelerating the industrial adoption of ALD equipment,” asserts Taguhi Yeghoyan, Ph.D., Technology & Market Analyst, Semiconductor Manufacturing at Yole Intelligence, part of Yole Group


    The ALD equipment market dedicated to MtM device manufacturing totaled US$345 million in 2020 and was dominated by CIS (47%). In the coming years, the ALD equipment market is expected to increase with a 12% CAGR between 2020 and 2026, reaching $680M in 2026.

    This market’s promising outlook is well detailed in the first Yole report fully dedicated to ALD, published today: Atomic Layer Deposition Equipment for More than Moore report. This report is one of the impressive collection of semiconductor manufacturing reports published by the market research & strategy consulting company, Yole.

    The ALD analysis offers a comprehensive description of the status of industrial adoption for MtM device production. It highlights process requirements and trends. The semiconductor manufacturing team also provides a relevant ALD equipment benchmark, including technology, reactor architecture, and average selling price. Furthermore, the supply chain and related ecosystem are analyzed.

    This technology & market study includes market size analysis with a breakdown by market share, wafer size, and device application, as well as an ALD equipment market forecast with a breakdown by device application[7].

    According to Yole’s analysts, two main reasons can be given for the high growth of the ALD equipment market.

    Firstly, manufacturing sites are gearing up for the production of MtM devices that are gaining importance across all the megatrends: for example, compound semiconductor-based power devices, in particular GaN and SiC, as well as photonic devices, including miniLED and microLED. Their manufacturing is just rocketing for automotive and consumer applications, and ALD equipment sales are expected to increase with impressive CAGRs of 12% for power and 30% CAGR[8] for photonics. The growth is further strengthened by the high wafer production volume of CIS devices, silicon power electronics, and advanced packaging - mainly Wafer Level Encapsulation - used across all MtM applications.

    Secondly, the global semiconductor market is favorable. Chip shortages across all markets and MtM devices have pushed manufacturers to announce fab capacity expansion worldwide.

    “This is an excellent opportunity to deploy new materials and processes that improve device performance,” argues Taguhi Yeghoyan from Yole. And she adds: “The ALD ecosystem and supply chain actors, traditionally tightly interrelated, now collaborate even more to accelerate ALD adoption. These include ALD process developers such as academic and R&D institutes, precursor suppliers (off-shelf and customized), equipment subpart providers, as well as inspection and metrology system providers.”

    Moreover, fab expansions concern not only the leading manufacturing players but also smaller production sites, giving emerging ALD equipment vendors a growth opportunity.

    The 2020 ALD equipment market is led by 300mm platforms. At Yole, analysts identified the following leading ALD players: ASM International, with 30% market share, and TEL, with 18% market share, as well as NAURA. All these players are offering 300mm platforms with high average selling price as well as high throughput.

    These players are followed by  Picosun, specialized in 200 mm platforms, which has 10% of ALD market share globally. The company is, however, closely followed by Optorun, Beneq, Plasma-Therm, Oxford Instruments, and Veeco, among others.

    Moreover, equipment vendors previously active only in ALD research are now developing their machines for volume production in response to the chip and equipment shortages. All in all, the ongoing global semiconductor market upside gives an optimistic prospect for MtM ALD equipment vendors’ revenue. However, the ALD market is competitive, and market shares can change significantly in the coming years.

    Press contacts

    Sandrine Leroy, Director, Public Relations, sandrine.leroy@yole.fr
    Marion Barrier
    , Officer, Public Relations, marion.barrier@yole.fr
    Le Quartz, 75 Cours Emile Zola – 69100 Villeurbanne – Lyon – France – +33472830189

    www.yolegroup.comLinkedInTwitter
    About our analyst

    Taguhi Yeghoyan PhD., is a Technology & Market Analyst, Semiconductor Manufacturing at Yole Développement (Yole), within the Semiconductor, Memory & Computing division.

    Taguhi’s mission is to follow the semiconductor industry and its evolution. Based on her expertise in this field, especially the semiconductor value chain (processes, materials, equipment, and related applications), Taguhi produces technology & market reports and is engaged in dedicated custom projects.

    Prior to Yole, she worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France), and LTM at CEA Leti (Grenoble, France). During this time, Taguhi authored or co-authored one patent and more than nine papers.

    Taguhi graduated from Wroclaw University of Technology (Poland) and the University of Lyon (France). She also completed her Ph.D. in Material Science from the University of Lyon (France).

    About the report

    Atomic Layer Deposition Equipment for More than Moore 2021

    ALD equipment market surging with 12% CAGR to reach $680M in 2026, penetrating all More-than-

    Moore applications. – Researched by Yole Développement

    Companies cited:

    ACM Research, Advanced Energy, AIR LIQUIDE, ALICAT, AnnealSYS, Applied Materials (AMAT), Arriadiance, ASM International, ATLANT 3D, BROOKS, Beneq, BRUKER, Canon, CN1, CVD Corporation, DOW, Dupont, EDWARDS, Encapsulix, Entegris, EREZTECH, Eugene Technologies, Eugenus, FHR, FITOK, ForgeNano, FujiFilm, Fujikin, Gelest, HAMLET, Hitachi, HOKE, Horiba, Huate Gas, INFICON, Imec, Intel, Isac Research, Kokusai Electric and many more…

    About Yole Intelligence

    Yole Intelligence is a consulting firm specializing in the strategic analysis of markets, the supply chain, and technological developments related to the semiconductor industry and adjacent industries.

    Benefiting from direct access to major players in the field of semiconductors and the multidisciplinary profile (scientific, technical, and market-oriented) of these analysts, Yole Intelligence supports its clients in the understanding of markets, the evolution of technologies, and their implementation in industry. The company provides its expertise through regular analyses and advice in strategic marketing and technical analysis.

    Yole Intelligence is part of Yole Group, with 180+ people worldwide. Yole Intelligence works closely with other group entities and partners. More information on www.yolegroup.com.


    [1] Extracted from:

    [2] ALD equipment market

    [3] MtM : More than Moore

    [4] CIS : CMOS Image Sensor

    [5] CAGR: Compound Annual Growth Rate

    [6] RF: Radio Frequency

    [7] Including MEMS and sensors, power, RF, CIS devices, photonics including mini-LED and micro-LED, and advanced packaging, mainly wafer level encapsulation.

    [8] CAGR between 2020 and 2026


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".