EV Group Inc.

Tempe,  AZ 
United States
https://www.EVGroup.com
  • Booth: W3

Visit us at booth #1235 at SEMICON West 2023!

Overview

About EV Group (EVG)

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.


  Press Releases

  • EV GROUP SCORES BIG WITH ELEVENTH CONSECUTIVE TRIPLE CROWN WIN IN 
    TechInsights 2023 CUSTOMER SATISFACTION SURVEY 

    EVG achieves its highest rankings ever with 5 Star ratings across all applicable award categories; continues winning streak with 21st consecutive year listed among “THE BEST” suppliers


    ST. FLORIAN, Austria, June 27, 2023—EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology, and semiconductor markets, today announced that it has once again been voted by customers as one of the 10 BEST Focused Suppliers of Chip Making Equipment and one of the 2023 THE BEST Suppliers of Fab Equipment in the 2023 TechInsights Customer Satisfaction Survey, increasing its score in both award segments compared to last year’s listings as well as earning a 5 Star rating in both segments for the second time in a row. EVG also received a RANKED 1st in Specialty Fab Equipment award again this year, marking the 11th year in a row that it has received all three customer satisfaction awards. 

    Across the survey, EVG achieved its highest rankings ever, moving up to second place and receiving  
    5 Star ratings across all applicable award categories besides the RANKED 1st in Specialty Fab Equipment category. Among these, EVG was once again recognized as one of THE BEST Suppliers of Fab Equipment to Foundation Chip Makers—a category defined as those companies whose spending provides the foundation of wafer fab equipment development and infrastructure in the global semiconductor industry, and which include several of the top semiconductor sales leaders including foundries. In addition, for the seventh year in a row, EVG was recognized as one of THE BEST Suppliers of Fab Equipment to Specialty Chip Makers—achieving a 5 Star rating in this category for the third time in a row.  


    A white paper detailing EVG’s survey results issued by TechInsights is available at: 
    https://bit.ly/evgtechinsights23 


    According to TechInsights, EVG earned its highest 10 BEST rating ever this year, with customers rating the company best at partnering, trust in supplier, recommended supplier and technical leadership. 2023 marks the 21st consecutive year that EVG has been listed among THE BEST Suppliers of Fab Equipment, as well as the 11th consecutive year EVG has achieved the number one spot as the highest ranked wafer bonder supplier.  

    According to G. Dan Hutcheson, vice chair of TechInsights, “EV Group is known for delivering superior process expertise to its global customer and partner base. Its decades of experience and a willingness to invest in unique innovations have earned customers’ trust in EVG’s ability to meet their needs. Demonstrating this, customers awarded EVG higher ratings for the sixth consecutive year in TechInsights’ Customer Satisfaction Survey. This year, they gave EVG high marks across the board, with outstanding results for partnering, trust, technical leadership, and product performance. Customers noted EVG’s strong professional support during setup, as well as for excellent quality of engineering service.” 
    “We are grateful and humbled by the continued recognition that we receive from our customers in the annual TechInsights Customer Satisfaction survey,” stated Hermann Waltl, executive sales and customer support director at EV Group. “Our Triple-i philosophy of invent-innovate-implement is at the core of what we do for our customers, which is being the first in exploring new techniques and serving next-generation applications of micro- and nanofabrication technologies that enable our customers to successfully commercialize their new product ideas.” 
    EVG offers a complete portfolio of wafer-level manufacturing solutions for a wide variety of micro- and nanotechnology applications and products, addressing established as well as emerging markets. The company’s field-proven equipment, combined with EVG’s superior process expertise and development support, enable its global customer base to stay one step ahead of the competition. 

     

    About the 2023 TechInsights Customer Satisfaction Survey  
    TechInsights received feedback from more than 60% of the chip market and 66% of subsystems customers for this year’s survey. The survey spans 2 ½ months and covers five languages. Worldwide participants were asked to rate equipment suppliers among fourteen categories based on three key factors: supplier performance, customer service, and product performance. 1,859 surveys were returned, resulting in 25,489 total responses. The TechInsights annual Customer Satisfaction Survey is the only publicly available opportunity since 1988 for customers to provide feedback for suppliers of: semiconductor equipment and subsystems. The 10 BEST and THE BEST awards provide special recognition to suppliers that are rated highest by their customers. 


    About EV Group (EVG) 
    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, 
    lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com. 

    Contacts: 
    Clemens Schütte 
    Director, Marketing and Communications EV Group  
    Tel: +43 7712 5311 0 
    E-mail: Marketing@EVGroup.com

    David Moreno 
    Principal 
    Open Sky Communications 
    Tel: +1.415.519.3915 
    E-mail: dmoreno@openskypr.com

  • EV GROUP REVOLUTIONIZES 3D INTEGRATION FROM ADVANCED PACKAGING TO TRANSISTOR SCALING WITH NANOCLEAVE LAYER RELEASE TECHNOLOGY 
    IR laser cleave technology enables nanometer-precision layer transfer through silicon,  
    eliminating glass substrates for advanced packaging and enabling thin-layer 3D stacking 


    ST. FLORIAN, Austria, September 12, 2022—EV Group (EVG), a leading provider of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced NanoCleave™, a revolutionary layer release technology for silicon that enables ultra-thin layer stacking for front-end processing, including advanced logic, memory and power device formation, as well as semiconductor advanced packaging. NanoCleave is a fully front-end-compatible layer release technology that features an infrared (IR) laser that can pass through silicon, which is transparent to the IR laser wavelength. Coupled with the use of specially formulated inorganic layers, this technology enables an IR laser-initiated release of any ultra-thin film or layer from silicon carriers with nanometer precision.  
    As a result, NanoCleave enables silicon wafer carriers in advanced packaging processes such as Fan-out Wafer-level Packaging (FoWLP) using mold and reconstituted wafers as well as interposers for 3D Stacked ICs (3D SIC). At the same time, its compatibility with high-temperature processes enables completely novel process flows for 3D IC and 3D sequential integration applications – enabling hybrid and fusion bonding even of ultra-thin layers on silicon carriers, thereby revolutionizing 3D and heterogeneous integration as well as material transfer in next-generation scaled transistor designs. 
    Company executives will be available to discuss this IR laser transfer technology breakthrough at  SEMICON Taiwan, taking place at the Taipei Nangang Exhibition Center Hall 1 (TaiNEX 1) in Taipei, Taiwan, from September 14-16. Event attendees can visit EVG at Booth #L0316 (4th Floor) to learn more. 

     

    Silicon Carriers Benefit 3D Stacking and Back-end Processing  
    In 3D integration, carrier technologies for thin-wafer processing are key to enabling higher performance systems with increasing interconnection bandwidth. Glass carriers have become an established method for building up device layers through temporary bonding with organic adhesives, using an ultraviolet (UV) wavelength laser to dissolve the adhesives and release the device layers, which are subsequently permanently bonded onto the final product wafer. However, glass substrates are difficult to process with semiconductor fab equipment that have been designed primarily around silicon, and that require costly upgrades to enable glass wafer processing. In addition, organic adhesives are generally limited to processing temperatures below 300°C, which limits their use to back-end processing.  
    Enabling silicon carriers with inorganic release layers avoids these temperature and glass carrier compatibility issues. In addition, the nanometer precision of IR laser-initiated cleaving opens up the possibility of processing extremely thin device wafers without changing processes of record. Subsequent stacking of such thin device layers enables higher bandwidth interconnects and opens up new opportunities to design and segment dies for next-generation high-performance systems. 

    Next-generation Transistor Nodes Demand Novel Layer-transfer Processes 
    At the same time, transistor roadmaps for the sub-3-nm node are calling for new architectures and design innovations such as buried power rails, backside power delivery networks, complementary field-effect transistors (CFETs) and even 2D atomic channels, all of which will require layer transfer of extremely thin materials. Silicon carriers and inorganic release layers support process cleanliness, material compatibility and high processing temperature requirements for front-end manufacturing flows. However, until now, silicon carriers had to be completely removed using grinding, polishing and etching processes, which 
    results in micron-range variations across the surface of the working device layer, making this method unsuitable for thin-layer stacking at advanced nodes.  
    EVG’s new NanoCleave technology utilizes an IR laser and inorganic release materials to enable laser debonding on silicon with nanometer precision. This eliminates the need for glass substrates for 
    advanced packaging, avoiding temperature and glass carrier compatibility issues, and enables the ability 
    to transfer ultra-thin (single micron and below) layers via carriers in front-end processing without changing the processes of record. The nanometer-precision of EVG’s new process supports advanced semiconductor device roadmaps calling for thinner device layers and packages, increased heterogeneous integration, and reduced processing costs through thin-layer transfer and the elimination of glass substrates.  
    “Semiconductor scaling has become increasingly complex and difficult to achieve due to tighter process tolerances,” stated Paul Lindner, executive technology director at EV Group. “The industry needs new processes and integration approaches to enable higher integration density and device performance. Our NanoCleave layer release technology is a game-changer for semiconductor scaling through thin-layer 
    and die stacking, with the potential to address the most pressing requirements of the industry. 
    NanoCleave will help enable our customers to realize their advanced device and packaging roadmaps through a highly versatile and universal layer release technology that works with standard silicon wafers and wafer processes – enabling seamless integration in the fab and saving our customers both time and money.” 

     

    Unique IR Laser Technology 
    Using EVG’s NanoCleave technology, the backside of the silicon wafer is exposed with an IR laser, which utilizes a unique wavelength that silicon is transparent to. An inorganic release layer that is pre-built into 
    the silicon stack through standard deposition processes absorbs the IR light, resulting in the cleaving of 
    the silicon at a predetermined and precisely defined layer or area. The ability to use inorganic release layers enables more precise and thinner release layers to be used (in the range of a few nanometers versus a few microns for organic adhesives). In addition, the inorganic release layers are compatible with high-temperature processing (up to 1000°C), enabling layer transfer for many new front-end applications, such as epitaxy, deposition and annealing, where organic adhesives are incompatible.  

     

    Product Availability 
    Demonstrations of EVG’s NanoCleave layer release technology are now available at the company’s headquarters.  

    About EV Group (EVG) 
    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices 
    and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, 
    lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, 
    cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate 
    network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com. 

    Contacts: 
    Clemens Schütte 
    Director, Marketing and Communications EV Group  
    Tel: +43 7712 5311 0 
    E-mail: Marketing@EVGroup.com

    David Moreno 
    Principal 
    Open Sky Communications 
    Tel: +1.415.519.3915 
    E-mail: dmoreno@openskypr.com

  • EV GROUP ACHIEVES DIE-TO-WAFER FUSION AND HYBRID BONDING MILESTONE WITH 
    100-PERCENT DIE TRANSFER YIELD ON MULTI-DIE 3D SYSTEM-ON-A-CHIP

    Successful full-system die-to-wafer transfer at EVG’s Heterogeneous Integration Competence Center™ demonstrates important step forward in achieving process maturity 


    ST. FLORIAN, Austria, July 12, 2022—EV Group (EVG), a leading provider of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced it has achieved a major breakthrough in die-to-wafer (D2W) fusion and hybrid bonding by successfully demonstrating 100-percent void-free bonding yield of multiple die of different sizes from a complete 3D system-on-a-chip (SoC) in a single transfer process using EVG’s GEMINI®FB automated hybrid bonding system. Such an accomplishment had been a key challenge for D2W bonding until today, as well as a major hurdle to scaling down the cost of implementing heterogeneous integration. This important industry achievement was carried out at EVG’s Heterogeneous Integration Competence Center™ (HICC), which is designed to assist customers in leveraging EVG’s process solutions and expertise to accelerate the development of new and differentiating products and applications driven by advances in system integration and packaging.  


    Company executives will be available to discuss this D2W bonding breakthrough at SEMICON West, taking place
    at the Moscone Center in San Francisco, Calif., from July 11-13. Event attendees can visit EVG in the South Hall, Booth #1235 to learn more. 


    Leading-edge applications such as artificial intelligence (AI), autonomous driving, augmented/virtual reality and 5G all require the development of high-bandwidth, high-performance and low-power-consumption devices without increasing production cost. As a result, the semiconductor industry is turning to heterogeneous integration – the manufacturing, assembly and packaging of multiple different components or dies with different feature sizes and materials onto a single device or package – in order to increase performance on new device generations. D2W hybrid bonding is a key manufacturing technology for heterogeneous integration. Yet, as the ever-higher bandwidth needs of these devices drive newer packaging technologies, new developments in D2W hybrid bonding and metrology are also needed.  
    “Hybrid bonding requires substantially different manufacturing technologies to standard packaging processes, bringing it much closer to front-end manufacturing – especially in terms of cleanliness, particle control, alignment and metrology precision,” stated Dr. Thomas Uhrmann, business development director at EV Group. "In line with our market leadership for W2W hybrid bonding, we continue to expand our 
    D2W hybrid bonding solutions and optimize our equipment to support critical upstream and downstream processes, such as plasma activation and cleaning, in order to accelerate the deployment and maturity of D2W hybrid bonding. Between our established GEMINI FB, which has been configured for collective D2W integration flows and serving the needs for D2W bonding for several years already, the EVG®320 D2W 
    die preparation and activation system for direct placement D2W bonding, which provides a direct 
    interface with D2W bonders, and the EVG®40 NT2 overlay metrology system, which uses AI, feed-forward and feedback loops to further increase hybrid bonding yields, EVG provides a complete end-to-end hybrid bonding solution to accelerate the deployment of 3D/heterogeneous integration.” 

    Since the interface in hybrid bonding is solid-state, with embedded metal pads to allow for face-to-face electrical connection of wafers and dies, D2W hybrid bonding requires similar tight cleanliness standards and manufacturing tolerances seen in front-end semiconductor manufacturing processes. This trend is also moving high-precision metrology into a more central role in controlling hybrid bonding alignment and process yield, which in turn is driving the integration of D2W bonding and metrology processes into one process line. 
    In addition, several different D2W hybrid bonding process flows are under evaluation today, each with unique advantages and requirements. Since its establishment two years ago, EVG’s HICC has played a key role in helping customers and partners develop and optimize D2W hybrid bonding processes to address the unique needs of their given device design and application, taking into account various factors such as die size, die thickness, total stack height as well as interface considerations such as contact design and density. The HICC also features a state-of-the-art cleanroom with cleanliness standards on 
    par with many leading-edge semiconductor fabs – enabling EVG to uniquely support the stringent requirements for D2W and W2W hybrid bonding process development. 
    "The HICC has firmly established itself as a preeminent central open-access incubator for novel process solutions such as D2W hybrid and fusion bonding,” stated Markus Wimplinger, corporate technology development and IP director at EV Group. “State-of-the-art cleanrooms at EVG's headquarters in Austria, as well as in our subsidiaries in the US and Japan, guarantee that hybrid bonds can be developed with 
    the highest possible yield. At the same time, our world-class development facilities outfitted with our extensive portfolio of fully automated process solutions offers process development that is as agile and close to series production as possible. Our expertise in diverse bonding technologies, as well as process integration and metrology, allows our customers and partners to develop differentiated total solutions that can be easily transferred to their production facilities." 
    For more information on EVG’s Heterogeneous Integration Competence Center (HICC), please visit: https://www.evgroup.com/products/process-services/heterogeneous-integration-competence-center/. 

     

    About EV Group (EVG) 
    EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, 
    lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate 
    network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com. 

    Contacts: 
    Clemens Schütte 
    Director, Marketing and Communications EV Group  
    Tel: +43 7712 5311 0 
    E-mail: Marketing@EVGroup.com

    David Moreno 
    Principal 
    Open Sky Communications 
    Tel: +1.415.519.3915 
    E-mail: dmoreno@openskypr.com


  Products

  • GEMINI FB Integrated Fusion Bonding System
    Automated Production Wafer Bonding System Integrated platform for high precision alignment and fusion bonding...

  • Vertical stacking of semiconductor devices has become an increasingly viable approach to enabling continuous improvements in device density and performance. Wafer-to-wafer bonding is an essential process step to enable 3D stacked devices. EVG's GEMINI FB XT integrated fusion bonding system extends current standards and combines higher productivity with improved alignment and overlay accuracy for applications such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning. The system features the new SmartView NT3 bond aligner, developed specifically for fusion and hybrid wafer bonding alignment requirements of < 50 nm.

    Features

    • New SmartView® NT3 face-to-face bond aligner with sub 50 nm wafer-to-wafer alignment accuracy
    • Up to six pre-processing modules like:
      • Clean module
      • LowTemp™ plasma activation module
      • Alignment verification module
      • Debond module
    • XT Frame concept for highest throughput with EFEM (Equipment Frontend Module)
    • Optional features:
      • Debond module
      • Thermocompression bond module
  • EVG®40 NT2
    EVG®40 NT2 Automated Measurement System High-Speed High-Precision Metrology for 3D and Heterogeneous Integration...

  • The EVG®40 NT2 automated metrology system provides overlay and critical dimension (CD) measurements for wafer-to-wafer (W2W), die-to-wafer (D2W) and die-to-die (D2D) bonding as well as maskless lithography applications. Designed for high-volume production with feedback loops for real-time process correction and optimization, the EVG40 NT2 helps device manufacturers, foundries and packaging houses accelerate the introduction of new 3D/heterogeneous integration products as well as improve yields and avoid scrapping of highly valuable wafers.

    Features

    • Highly precise measurements of critical bonding and lithography process parameters for current and future leading-edge 3D / Heterogeneous Integration applications
      • Alignment verification and monitoring for W2W, D2W, D2D
      • Alignment verification for maskless exposure processes
      • CD measurement and multi-layer thickness measurement
    • Highly scalable system that features multiple measurement heads and a high-precision stage designed for high-throughput and high-accuracy (down to the low single-digit nm range)
  • LITHOSCALE® Maskless Exposure Lithography Systems
    LITHOSCALE® is a revolutionary, highly versatile maskless exposure lithography platform geared for a variety of microfabrication applications accommodating wafers up to 300 mm....

  • The LITHOSCALE system featuring EV Group’s MLE™ maskless exposure technology tackles legacy bottlenecks by combining powerful digital processing that enables real-time data transfer and immediate exposure, high structuring resolution and throughput scalability. Its mask-free approach eliminates mask-related consumables, while the exposure system with its tunable solid-state laser source is designed for high redundancy and long life-time stability with unique auto-calibration capabilities that minimize maintenance. Powerful real-time digital processing enables immediate exposure from the design-file to the substrate – thereby avoiding hours of conversion time for each digital mask layout.  LITHOSCALE features high-resolution (<2µm L/S), dynamically die-level addressable exposure of the entire substrate surface, which enables agile consumable-free processing and low cost of ownership (CoO). The LITHOSCALE system integrates full-wafer top-and-backside alignment utilizing dedicated objectives with visible and IR capability and proprietary chuck designs accommodating wafer sizes up to 300 mm. The system features dynamic alignment modes with an automatic focus, in order to adapt to the substrate material and surface variations. The ability to finely control focus level position keeps sidewalls steep as well as desired 3D contour of the resist, while preventing edge topping and footing. Large working distance and automatic adaptive focus ensures patterning uniformity across the exposure surface. It also offers individualized die processing capability, while fast full-field positioning and dynamic alignment enable high scalability for a range of substrate sizes and shapes.

    Features

    • Wafer/substrate size up to 300 mm/12’’
    • Resolution capability < 2 µm L/S
    • Equipped with MLE technology featuring high-end diffraction-limited optics
    • Exposure spectrum of 375-nm and/or 405-nm wavelength; user definable either as single, broadband or any kind of wavelength mixture
    • Regularly monitored and auto-calibrated solid-state light source securing its long-life-time stability and high redundancy
    • Advanced alignment modes supporting top-side and bottom-side VIS and IR alignment capability
    • Depth of focus control (DoF) < 24 µm
    • Adaptive autofocus control (AF) < 100 µm
    • High-precision field proven alignment stage embedding high-tech mechatronics and calibration sensors for entire system stability
    • Advanced software features including:
      • Dynamic die-level annotation
      • Advanced distortion compensation
      • Mask-file transfer and recipe execution via host/flexible per each wafer
      • Layout transformation function
      • Alternative format files support: Gerber, ODB++, OASIS
    • Automated contactless wedge compensation sequence
    • Scalable solution accommodating R&D and high-volume manufacturing (HVM) needs in one system without adding to footprint
    • Consumables-free technology

Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".