Allwin21 Corp.

Morgan Hill,  CA 
United States
http://www.allwin21.com
  • Booth: 1360

Win a free Apple Airpod at Allwin21 booth 1547:Video DEMO

Overview

Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / DescumPlasma Etch/RIESputter Depositionand Metal Film Metrology semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, & LED industries.

Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Processing equipment. Allwin21 is manufacturing the new AccuThermo AW Series Atmospheric Rapid Thermal Processors .Compared with traditional RTP systems, Allwin21″s AccuThermo AW RTPs have innovative software and more advanced temperature control technologies to achieve the best rapid thermal processing performance ( repeatability , uniformity and Stability etc.). For many years AG Associates was the dominant manufacturer of RTP systems. These AG RTP systems are still being used around the world in manufacturing, R&D and Universities. These RTP systems have a proven track record for reliability and simplicity.


  Press Releases

  • AccuThermo AW610M Key Features:

    • Heating chamber’s cold-wall design with gold plating finish which has been approved in 30 years  ().
    • Atmospheric Rapid Thermal Annealing system with Top and bottom IR lamp heating for superior heating uniformity ().
    • Closed-loop temperature control with pyrometer (No-Contact) or thermocouple temperature sensing ().
    • Precise time-temperature profiles tailored to suit specific process requirements ().
    • Fast heating and cooling rates unobtainable with conventional technologies.
    • Consistent wafer-to-wafer process cycle repeatability which is the most important characteristic of rapid thermal annealing systems.
    • Bottom and top heating with 21 (1.2KW ea) Radiation heating lamp module with 6 bank zones.
    • 24V valves for cooling air and water.
    • Pentium® class computer board inside the equipment with a 17-inch LCD monitor and Allwin21 Corp proprietary software package
    • New type water sensor which is more reliable.
    • SSR Lamp control instead of  triac control.
    • Elimination of external contamination with isolated quartz tube.
    • Small footprint and energy efficiency:26(W) X 14(D) X 18(H) inch
    • Software which integrates all of the Process Control into a single reliable software package ().
      • GUI interface ().
      • Real-Time process data acquisition ().
      • Real-Time graphics ().
      • Process Data Analysis  ().
      • Process Data and Recipe storage on a hard drive ().
      • Recipe Editor for Multi-step Processing ().
      • Easy Recipe Editor ().
      • System Diagnostics function ().
      • Chamber calibration data for smooth control ().
      • Easy software pyrometer calibration ()
      • Easy software gas calibration ()
      • Easy software thermocouple calibration ()
      • Power summary function for better performance repeatability control ().
      • More IO AD DA hardware exposed for easier maintenance and trouble shooting ()
      • The watchdog timer shuts down the lamps to prevent run-away heating of the wafer.

    Applications

    The AccuThermo RTP system is a versatile tool that is useful for many applications:

    • Ion Implant Activation
    • Polysilicon Annealing
    • Oxide Reflow
    • Silicide Formation
    • Contact Alloying
    • Oxidation and Nitridation
    • GaAs Processing

    Heating, Cooling, and Temperature Measurement

    The following list contains the key features of the AccuThermo AW 610M RTP system heating, cooling and temperature measurement systems:

    • High-intensity visible radiation heats wafers for short periods of 1 to 9999 seconds at precisely controlled temperatures in the 400°C to 1200°C range. (1 to 600 second heating periods are used typically.)
    • Tungsten halogen lamps and cold heating chamber walls respectively allow fast wafer heating and cooling rates.
    • The system delivers time and temperature profiles tailored to suit specific process requirements.
    • Pyrometer or thermocouple sensing offers precise closed-loop temperature control.
    • Cooling N2 flows around the lamps and quartz isolation tube
    • MFC controlled gases (up to four) flow through the heating chamber for purge and/or process purposes.
    • Process Proven for III-V substrates
    • Non-PLC (Smaller footprint / easy maintenance)
    • 20+ years proven sputter technology
    • New optimum AW-4450 System Control
    • Table Rotate/Lift Subsystem: Feed-thru assembly
    • DC 24V for Motors,Actuator,Relay,Solenoid
    • Efficient 8″ (Up to 4),Delta (Up to 3) cathodes
    • High throughput operation
    • High Uniformity and Yield
    • DC, RF Sputter, Pulse DC option
    • Magnetron and Diode Sputter option
    • RF Etch and Bias are optional
    • Ultra Clean vacuum system
    • Load lock operation
    • UHV design
    • Flexible for development or production use
    • Full range of substrate sizes and shapes
    • Various pumping and power options
    • Co-sputtering option
    • Reactive Sputtering option

    AW-4450 System Controller

    • Maintenance, Manual, Semi Automatic and Full Automatic operation modes
    • Automated calibration of all sub-systems
    • Troubleshoot to sub-assembly levels
    • Programmed comprehensive calibration and diagnostic functions
    • Recipe creation for full automatic wafer processing
    • Automatic decline of improper recipes and process data
    • Multi-level password protection
    • Storage of multiple recipes and system functions
    • Real-Time process data acquisition,display ,analysis
    • Real-Time graphics use display
    • Process Data and Recipe storage on a hard drive
    • Easy TC vacuum gauge calibration
    • Positioning Deposition(optional)
    • GEM/SEC II functions (optional)

    Screen of AccuSputter AW 4450 Sputter Desposition System

  • Allwin21 Corp. has been focusing on providing solutions and enhancements to the following used semiconductor equipment.  These OEM semiconductor equipment have been used in productions and R&D since 1990′s.  They’ve each been PROCESS-PROVEN.  Allwin21 Corp. can refurbish and/or upgrade these OEM systems with Allwin21′s comparable integrated process control system with PC, solid 3-axis robotic wafer transfer system (if applicable), and new critical components to achieve the goal of giving our customers a production edge with right cost.

    We have been doing upgrade for many production proven equipment, such as Perkin-Elmer 2400, Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480, Matrix 105 , Matrix 106, Matrix 303, Matrix 303, Matrix 403, Matrix 205, Matrix 101, Matrix 102, Matrix 103, Matrix 104,Tegal 901e, Tegal 903e, Lam Research LAM AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690,  Branson/IPC 2000, Branson IPC 3000, Branson/IPC 4000, Gasonics Aura 3000, Gasonics Aura 3010, Gasonics L3510, Gasoncis Aura 1000, Gasonics AE 2001, AG Associates Heatpulse 610,  AG Associates Heatpulse 410, AG Associates Minipulse 310,   AG Associates Heatpulse 210 on the field for many cases. The reliability have been improved a lot for most of the tools after upgrading. We designed the upgrade kits for these working tools that can do plug and play and most of them only need 2 to 3 days include training. For PE sputter, since it is a little complicated, but we still target to upgrade within one week include training if the machine without other problems.

    Why upgrade your old used semiconductor process equipment ?

    • Low cost solution of obsolete components and parts.
    • Use new air cooling RF generator to replace the obsolete water cooling RF Generator, avoiding water flood in Fab/Lab.
    • Use new integrated solid robotic wafer transfer to replace the obsolete index/frog robotic wafer transfer
    • Requirement of stability of the system
    • Requirement of network function (GEM/SECSII).
    • Requirement of PC control for data storage.
    • Requirement of GUI.
    • Requirement of more precise control.
    • Requirement of better repeatability, uniformity.
    • Requirement of easier maintenance, calibration, and trouble shooting.

    Gasonics Aura 3010/3000

    Model: Gasonics Aura 3010/3000;OEM: Gasonics;Condition: Refurbished and Upgraded;Wafer Size: Up to 8 inch capability. 2 sizes without hardware change if optional. Customized.
    Continue Reading

    MRC 6X3 9X3 Sputtering System Upgrade

    The AW-603/903 Sputter System Upgrade Kit includes an advanced control system with touch screen operator interface and Allwin21 computer software. The kit is easy to incorporate (plug-and-play) into the original MRC 6X3/9X3 sputter system. No need to move the to be upgraded system from its present location.…

    Continue Reading

    Lam Rainbow 44XX Upgrade

    The Upgrade kit for Lam Rainbow 44XX includes an advanced AW-4400 System Control with touch screen operator interface or 17" LCD Monitor , New PC with Allwin21 AW-4400 software and new interface board.
    Continue Reading

    Tegal 901e Tegal 903e Upgrade

    The Upgrade kit for Tegal® 901e Tegal® 903e includes an advanced AW-900 System Control with touch screen operator interface or 17" LCD Monitor , New PC with Allwin21 AW-900 software and new main control board. 

    Continue Reading

    Lam AutoEtch X90 Upgrade

    The Upgrade kit for Lam AutoEtch® 490 590 690 790 includes an advanced AW-590 System Control with touch screen operator interface or 17" LCD Monitor , New PC with Allwin21 AW-590 software  and new interface board. 

    Continue Reading

    Gasonics L3510 Upgrade

    The Upgrade kit for Gasonics L3510 includes an advanced AW-3510 System Control with touch screen operator interface , New PC with Allwin21 AW-3000 software ,new main control board, new wafer heating function with Allwin21 RTP technology, fixed cassette station instead of the original elevator and robust integrated robotic wafer transfer instead of the original Z-bot . 

    Continue Reading

    Gasonics Aura 3010 Upgrade

    The Upgrade kit for Gasonics Aura® 3010, Gasonics Aura® 3000 includes an advanced AW-3000 System Control with touch screen operator interface , New PC with Allwin21 AW-3000 software ,new main control board, new wafer heating function with Allwin21 RTP technology, fixed cassette station instead of the original elevator and robust integrated robotic wafer transfer instead of the original Z-bot . 

    Continue Reading

    Gasonics Aura 1000 Upgrade

    The Upgrade kit for Gasonics Aura® 1000 includes an advanced AW-1000 System Control with touch screen operator interface or 17" LCD Monitor , New PC with Allwin21 AW-1000 software ,new main control board and new wafer heating function with Allwin21 RTP technology. 

    Continue Reading

    Perkin-Elmer 24XX/44XX Upgrade

    The AW-4450 Sputter System Upgrade Kit includes an advanced control system with touch screen operator interface and Allwin21 computer software. The kit is easy to incorporate (plug-and-play) into the original PE sputter system. 

    Continue Reading

    Branson/IPC 3000 Upgrade

    The Upgrade kit for Branson IPC® 2000, 3000, 4000 includes an advanced AW-B3000 System Control with touch screen operator interface , New PC with Allwin21 AW-B3000 software ,new main control board and new gas lines with MFCs. 

    Continue Reading

    Gasonics Aura 2000 Upgrade

    The Upgrade kit for Gasoncis 2000LL / Gasonics Aura 2000LL  includes an advanced AW-2000 System Control with touch screen operator interface , New PC with Allwin21 AW-2000 software ,new main control board. The kit is easy to incorporate (plug-and-play) into the original Gasoncis 2000LL / Gasonics Aura 2000LL  systems
    Continue Reading

    Tegal 915 Tegal 965 Upgrade

    The Upgrade kit  for Tegal® 915 Tegal 965 includes a New PC Board with Allwin21 software package ,new main control board and cables. The kit is easy to incorporate (plug-and-play) into the original Tegal 915,Tegal 965 Plasma Asher Plasma Etcher systems.…

    Continue Reading

    AG Associates Heatpulse 410 Upgrade

    Allwin21 Corp. also provide upgrade kit for original AG Associates Heatpulse 410 for much better performance.  Upgraded AG Heatpulse 410  is a rapid thermal processor which uses high-intensity, visible radiation to heat single wafer for short periods at precisely controlled temperatures

    Continue Reading

    AG Associates Heatpulse 210 Upgrade

    Allwin21 Corp. also provide upgrade kit for original AG Associates Heatpulse 210 for much better performance.  Upgraded AG Heatpulse 210 is a rapid thermal processor which uses high-intensity, visible radiation to heat single wafer for short periods at precisely controlled temperatures.

    Continue Reading


  Products

  • Rapid Thermal Processor
    Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors....

  • Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours.We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production-proven semiconductor process equipment as well- most directly related to Si and III-V processing. These platforms of OEM semiconductor equipment have been used in Si and III-V production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. can customize these OEM systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts.

    AccuThermo AW820M RTP

    AccuThermo AW 820 RTP, 150-840 C or 400-1250 C. 1-6 gas lines with MFCs. Small samples to 8inch Round/Square Substrate. Stand Alone. Long time and high temperature configurations are optional.
    Continue Reading

    AccuThermo AW820V – Vacuum RTP

    AccuThermo AW 820 RTP, 150-840 C or 400-1250 C. 1-6 gas lines with MFCs. Small samples to 8inch Round/Square Substrate. Stand Alone. With Vacuum function. Can be configured with Dry pump or Dry pump with turbo pump for high vacuum. Not recommended! Long time and high temperature configurations are optional.
    Continue Reading
  • Plasma Asher Descum Etcher Equipment
    Allwin21 Corp. has been focusing on providing solutions and enhancements to plasma asher descum Etcher semiconductor process. We rebuilt AW-105R, AW-1008, AW-B3000 , AW-903eR, AW-901eR to achieve the goal of giving our customers a production edge....

  • Allwin21 Corp. has been focusing on providing solutions and enhancements to  plasma asher descum semiconductor process and plasma Etch RIE semiconductor process equipment. These OEM asher descum semiconductor equipment have been used in production and R&D since 1990′s. They have been Process-Proven. Allwin21 Corp. can customize these OEM systems with Allwin21′s comparable integrated process control system with PC, solid 3-axis robotic wafer transfer system, and new critical components to achieve the goal of giving our customers a production edge.

    AW-105R Plasma Asher

    AW-105R Plasma Stripper Asher . Wafer Size: 3-6.25 inch. 1-4 gas lines with MFCs. 60-200C Temperature with CLTC control . Anodized Chuck with Element heating and chiller cooling. Stand Alone. Integrated solid robotic wafer transfer. Single Wafer Process. Suitable for high uniformity, repeatability processes.

    Continue Reading

    AW-1008 Plasma Stripper/Asher

    AW-1008 Plasma Stripper Asher . Wafer Size: 3-6 inch. 1-4 gas lines with MFCs. 100-200C Temperature without control and 200-350C with CLTC. IR Lamp Heating. Stand Alone. Integrated solid robotic wafer transfer. Single Wafer Process. Suitable for high temperature, quick stripper processes.

    Continue Reading

    AW-B3000 Plasma Asher

    AW-B3000 Plasma Asher Descum Clean. Small Samples to 8 inch. 1-4 gas lines with MFCs. 100-200C Temperature without control. Desktop or Stand Alone. Manual Load, Batch Process. Low cost. Suitable for no uniformity requirement processes.

    Continue Reading

  • RF DC Pulse DC Magnetron Sputtering PVD Equip
    Allwin21 Corp. has been focusing on providing solutions and enhancements to Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480...

  • Allwin21 Corp. has been focusing on providing solutions and enhancements to Perkin-Elmer 4400Perkin-Elmer 4410Perkin-Elmer 4450  Perkin-Elmer 4480 used sputter deposition semiconductor process equipment. These OEM semiconductor equipment have been used in productions and R&D since 1990′s. They have been proven to be a true “work horse”. Allwin21 Corp. can customize these OEM systems with Allwin21′s comparable integrated process control system with PC and new critical components. We rebuild AccuSputter AW 4450 Series Sputter Deposition systems with our own integrated process control system, giving our customers the tools to achieve a production edge at very low cost impact.

    Perkin-Elmer 4400 Sputter

    Perkin-ELmer 4400 Sputter: Manual, with Load Lock,RF/DC; Diode/Magnetron, Wafer Size: Small~6 inch. Refurbished and Upgraded with Allwin21 New PC controller ~4xCircle Shape
    Continue Reading

    Perkin-Elmer 4410 Sputter

    Perkin-Elmer 4410 Sputter: Manual, with Load Lock,RF/DC; Diode/Magnetron, Wafer Size: Small~8 inch. Refurbished and Upgraded with Allwin21 PC controller. ~3xDelta Shape OR ~4xCircle Shape
    Continue Reading

    Perkin-Elmer 4450 Sputter

    Perkin-Elmer 4450 Sputter: Manual, with Load Lock,RF/DC; Diode/Magnetron, Wafer Size: Small~8 inch. Refurbished and Upgraded with Allwin21 PC controller. ~3xDelta Shape OR ~4xCircle Shape
    Continue Reading

Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".