Nikon Precision

Belmont,  CA 
United States
https://www.nikonprecision.com
  • Booth: 1028

Visit Nikon Precision Inc. at SEMICON West, Booth #1028!

Overview

Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography solutions for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most comprehensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, FPD, LCD, OLED and TFH industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. In addition, Nikon offers advanced semiconductor inspection systems. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems in North America. For more information about Nikon, access our website at https://www.nikonprecision.com.


  Products

  • Nikon NSR-S635E ArF Immersion Scanner
    The NSR-S635E ArF immersion scanner is integrated with the inline Alignment Station (iAS) and incorporates the latest technology advances. The S635E delivers world-class device patterning and productivity for cutting-edge semiconductor manufacturing....

  • The industry-leading NSR-S635E ArF immersion scanner leverages the proven Streamlign platform, incorporating the latest developments in lens, autofocus, alignment, and defectivity minimization technology to deliver unparalleled multiple patterning performance and productivity. The S635E is integrated with the revolutionary Nikon inline alignment station (iAS) to optimize scanner overlay performance and productivity. The S635E has demonstrated industry-leading mix-and-match overlay below 1.8 nm (Avg. + 3σ) across 3 lots. The NSR-S635E can also process up to 275 wafers per hour. In addition to employing a low defectivity nozzle design, the NSR-S635E also enables innovative computational defectivity corrections, while enhanced overlay and focus stability contribute to maximized tool productivity and fab daily output. These elements ensure world-class device patterning and optimum fab productivity to satisfy cutting-edge applications.

  • Litho Booster
    Litho Booster Standalone Alignment Station maximizes productivity and yield. It delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab....

  • The Litho Booster Standalone Alignment Station brings inline Alignment Station (iAS) capabilities to other scanners in the fab. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Whereas traditional process loops rely on feed back control, Litho Booster adds sophisticated feed forward correction capabilities. Litho Booster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order Litho Booster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.

  • AMI-5700 Automatic Macro Inspection System
    The AMI-5700 automatic macro inspection system performs batch inspection of 300 mm wafers with exceptional sensitivity and maximized productivity....

  • Nikon automatic macro inspection equipment (the AMI series) are inspection systems that detect semiconductor wafer defects, and the industry-leading AMI-5700 provides ultra-fine sensitivity and superior throughput using simultaneous capture of images across the entire wafer.

    AMI systems capture wafer images and then use artificial intelligence (AI) image processing to compare those images with previously learned defect-free wafer images to identify defects. The evaluation judgement can identify the number of defects or defective chips, perform auto defect classification (ADC) and report defects’ shapes, etc. The AMI-5700 provides industry-leading inspection sensitivity with capabilities to detect particles and scratches as small as 5 μm using add-on scattering inspection technology. It also can detect a variety of pattern defocus conditions that are typically beyond the capabilities of traditional macro inspection tools. In addition, accurate recognition of the diffracted light only from the top pattern layers is possible, allowing defects in underlying patterns to be differentiated. The AMI-5700’s new mirror tilting mechanism contributes to reduced underlayer noise and better detection of changes in the top layer.

    AMI systems capture the entire wafer surface in a single image, enabling 100% lot inspection with ultra-high throughput. The AMI-5700 can process 180 wafers/hour or more, and provides an additional 3rd wafer carrier load port to streamline manufacturing operations.

Categories


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".