Nikon Precision

Belmont,  CA 
United States
https://www.nikonprecision.com
  • Booth: 1032

Visit Nikon Precision Inc. at SEMICON West, Booth #1032!

Overview

Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography solutions for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most comprehensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, FPD, LCD, OLED and TFH industries. The extensive Nikon product portfolio includes specialized lithography solutions for MEMS, LED, and packaging applications as well. In addition, Nikon offers advanced semiconductor inspection systems. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography systems in North America. For more information about Nikon, access our website at https://www.nikonprecision.com.


  Press Releases

  • Advanced solution for critical layers, and supports 3D semiconductor device production

    Tokyo, Japan – December 6, 2023 – Nikon Corporation (Nikon) is pleased to announce the release of the NSR-S636E ArF immersion scanner, with system sales launching in January 2024. With the highest productivity of any lithography system across the impressive history of Nikon, the NSR-S636E is an immersion lithography scanner for critical layers that delivers superior overlay accuracy and ultra-high throughput. The NSR-S636E is the optimum patterning solution for the many diverse structures used in cutting-edge semiconductors, including 3D devices.

    As the digital transformation accelerates, high-performance semiconductors that can process and transmit larger amounts of data more quickly are becoming increasingly critical. The key enablers in technology innovation for leading-edge semiconductor performance are circuit pattern miniaturization as well as 3D semiconductor device structures, and ArF immersion scanners are essential for both of these manufacturing processes. When compared to conventional semiconductor processing, wafer warpage and distortions are more likely to occur during 3D semiconductor manufacturing, necessitating even more advanced scanner correction and compensation capabilities than ever before.

    The NSR-S636E ArF immersion scanner utilizes an enhanced iAS*1 that performs sophisticated wafer multipoint measurement before exposure. This innovative system delivers next-level overlay accuracy using high-precision measurement and extensive wafer warpage and distortion correction capabilities, all while maintaining maximum scanner throughput. Overall scanner output is also 10-15% higher*2 than current generation systems, resulting in optimized efficiency in cutting-edge semiconductor device production.

    The S636E immersion lithography system delivers excellent performance across diverse production processes including 3D-ICs where wafer deformation can easily occur. Enhanced accuracy of the iAS that performs wafer multipoint measurement before exposure enables heightened accuracy in measuring deformations such as wafer warping and distortion. Advanced measurement and compensation capabilities improve process robustness and provide superior overlay performance, without impacting productivity. These innovations are vital to diverse manufacturing processes such as 3D-ICs that require ultra-high overlay accuracy and will continue to be developed to achieve unprecedented semiconductor performance. In addition, through comprehensive improvements in throughput and daily productivity optimization, the NSR-S636E ArF immersion scanner also boosts overall output by 10-15% compared to current models. This is the highest level of productivity across the entire history of Nikon semiconductor lithography systems.

    Nikon continues to provide invaluable solutions like the NSR-S636E for leading IC production and to support the development of our digital society. We are committed to continuing to push the limits of photolithography through industry-leading solutions such as the S636E to support our customers’ manufacturing objectives for many years to come.

    *1 Abbreviation of inline Alignment Station. This system measures wafers with high speed and accuracy and enables grid error correction without reducing exposure system throughput.

    *2 This can vary depending on usage conditions and other factors.

    NSR-S636E

    Nikon NSR-S636E ArF Immersion Scanner

    System Overview

    Resolution ≤ 38 nm
    Lens-NA (numerical aperture) 1.35
    Wavelength ArF 193 nm
    Reduction ratio 1:4
    Maximum exposure area 26 mm x 33 mm
    Overlay Accuracy MMO*3: ≤ 2.1 nm
    Throughput ≥280 wafers/hour (96 shots)
     *3 MMO (mix-and-match overlay): Overlay accuracy between machines of the same model (ex. S636E #1 to S636E #2)

    The information contained in this press release is current as of its date of publication.

    About Nikon
    Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography systems for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most extensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, flat panel display (FPD) and thin-film magnetic head (TFH) industries. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography equipment in North America. For more information about Nikon, access our website at  http://www.nikonprecision.com.

    ####

    This press release contains forward-looking statements as that term is defined in the Private Securities Reform Act of 1995, which are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements. Such statements are subject to risks, uncertainties and changes in condition, particularly those related to industry requirements and other risks. The Company undertakes no obligation to update the information in this press release.

    For further information, please contact:
    Nikon Precision Marketing Communications at:
    NPIcom@nikon.com

  • Supports a variety of semiconductor devices and integrates well with existing fab equipment and operations

    Tokyo, Japan – August 31, 2023 – Nikon Corporation is pleased to announce the release of the NSR-2205iL1 5x reduction i-line stepper, which was developed to manufacture a variety of devices including power and communications semiconductors, and Micro Electro Mechanical Systems (MEMS). In addition, the 2205iL1 stepper is compatible with existing Nikon i-line exposure systems and can be readily integrated with a fab’s current equipment and operations. This novel i-line lithography system builds upon decades of Nikon stepper innovations and expertise to deliver excellent affordability and productivity for semiconductor devices, regardless of the wafer substrate material. Orders are being accepted now, and sales of the NSR-2205iL1 will begin summer of 2024. 

    As electric vehicles, high-speed communications and various IT devices become more pervasive, demand for the semiconductors that support them continues to grow. These semiconductors must perform a variety of challenging functions, and as result, device makers require specialized substrates and exposure systems to manufacture those chips. To satisfy these current and future production needs, Nikon partnered closely with customers in developing the NSR-2205iL1 to meet their specific 5x i-line stepper requirements.

    The NSR-2205iL1 leverages well-established Nikon technologies to deliver high stepper productivity with maximized yield across varying manufacturing processes. This includes high-accuracy wafer measurement using multipoint autofocus (AF), advanced wafer stage leveling*1, and large depth of focus (DOF) to maximize process window, among other central benefits. The 2205iL1 also accommodates a multitude of applications through compatibility with different wafer sizes and thicknesses, has high wafer warpage tolerance, and supports customers’ varied production applications including SiC (silicon carbide) and GaN (gallium nitride) processes.

    In addition to optimizing manufacturing flexibility and affordability for device makers, the 2205iL1 was designed to be readily integrated with existing fab equipment and operations. Customers already having Nikon i-line exposure systems can utilize their current photomasks and wafer exposure recipes, and the NSR-2205iL1 can supplement or replace existing steppers that no longer meet manufacturing requirements. The 2250i1L1 was also developed with a focus on sustainability and future maintenance, and many previously custom-type components were transitioned to general-purpose commercially available ones to reduce supply chain challenges. 

    Nikon continues to expand its lithography equipment portfolio, and the NSR-2205iL1 builds upon vast Nikon stepper experience to deliver a flexible, cost-effective, and sustainable solution to fully satisfy customers’ current and long-term i-line processing objectives.

    NSR-2205iL1 5x Reduction i-Line Stepper

    System Overview

    Resolution (nm) ≤ 350 nm (*2)
    Lens-NA (numerical aperture) 0.45
    Wavelength 365 nm
    Reduction ratio 1:5
    Maximum exposure area 22 mm x 22 mm
    Overlay accuracy SMO *3:  ≤ 70 nm*2

    *1 Mechanism that corrects the shift between the exposure image plane and the substrate surface during exposure by tilting the platform (stage) on which the wafer is placed.

    *2 Annular; precision mode

    *3 SMO (Single Machine Overlay): Overlay accuracy on the same NSR

    The information contained in this press release is current as of its date of publication.

    About Nikon
    Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in semiconductor lithography systems for the microelectronics manufacturing industry with more than 8,000 (semiconductor) lithography systems installed worldwide. Nikon offers the most extensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, flat panel display (FPD) and thin-film magnetic head (TFH) industries. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography equipment in North America. For more information about Nikon, access our website at https://www.nikonprecision.com.

    ####

    This press release contains forward-looking statements as that term is defined in the Private Securities Reform Act of 1995, which are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements. Such statements are subject to risks, uncertainties and changes in condition, particularly those related to industry requirements and other risks. The Company undertakes no obligation to update the information in this press release.

    For further information, please contact:
    Nikon Precision Marketing Communications at:
    NPIcom@nikon.com


  Products

  • NSR-2205iL1
    Key Benefits: Excellent i-line lithography solution for many device applications. Readily integrated with existing fab equipment and operations. ...

  • Excellent i-line lithography solution for many device applications
    As electric vehicles, high-speed communications and various IT devices become more pervasive, demand for the semiconductors that support them continues to grow. These semiconductors must perform a variety of challenging functions, and as result, device makers require specialized substrates and exposure systems to manufacture those chips. To address these challenges and requirements, Nikon has recently announced the NSR-2205iL1 5x reduction i-line stepper, which was developed to manufacture a variety of devices including power and communications semiconductors, and Micro Electro Mechanical Systems (MEMS).

    The NSR-2205iL1 was developed in direct response to customer demand for these lithography systems that continue to play an essential role in chip manufacturing, and this novel i-line exposure system builds upon decades of Nikon stepper innovations and expertise to deliver excellent affordability and productivity for semiconductor devices, regardless of the wafer substrate material.

    Readily integrated with existing fab equipment and operations
    In order to streamline fab operations as well as optimize manufacturing flexibility and affordability for device makers, the NSR-2205iL1 was designed to be readily integrated with existing fab equipment and operations. Customers already having Nikon i-line exposure systems can utilize their current photomasks and wafer exposure recipes, and the NSR-2205iL1 can supplement or replace existing steppers that no longer meet manufacturing requirements.

    High-productivity and cost-effective i-line stepper
    This NSR-2205iL1 leverages well-established Nikon technologies to deliver high stepper productivity with maximized yield across varying manufacturing processes. This includes high-accuracy wafer measurement using multipoint autofocus (AF), advanced wafer stage leveling, and a large depth of focus (DOF) to maximize process window, among other central benefits.

    The 2205iL1 also accommodates a multitude of applications through compatibility with different wafer sizes and thicknesses, has high wafer warpage tolerance, and supports customers’ varied production applications including SiC (silicon carbide) and GaN (gallium nitride) processes.

    Sustainable solution for current and future manufacturing
    The 2250i1L1 was also developed with a focus on sustainability and future maintenance, and many previously custom-type components were transitioned to general-purpose commercially available ones to reduce supply chain challenges.

    Nikon continues to expand its lithography equipment portfolio, and the NSR-2205iL1 builds upon vast Nikon stepper experience to deliver a flexible, cost-effective, and sustainable solution to fully satisfy customers’ current and long-term i-line processing objectives. 

    Basic Specifications

    Wavelength (nm) 365
    Lens-NA 0.45
    Exposure Area (mm) 22 x 22
    Reduction Ratio 1/5
    Resolution (nm) ≤ 350¹
    Single Machine Overlay (nm) ≤ 70¹


    1: annular; precision mode

  • NSR-S636E
    Key Benefits: Provides world-class device patterning and productivity for diverse cutting-edge semiconductor manufacturing processes. Delivers optimal on-product overlay performance with advanced compensation for wafer warpage and distortions....

  • Provides world-class device patterning and productivity for diverse cutting-edge semiconductor manufacturing processes including 3D-ICs

    As the digital transformation accelerates, high-performance semiconductors that can process and transmit larger amounts of data more quickly are becoming increasingly critical. The key enablers in technology innovation for leading-edge semiconductor performance are circuit pattern miniaturization as well as 3D semiconductor device structures, and ArF immersion scanners are essential for both of these manufacturing processes.

    When compared to conventional semiconductor processing, wafer warpage and distortions are more likely to occur during 3D semiconductor manufacturing, necessitating even more advanced scanner correction and compensation capabilities than ever before. The NSR-S636E is the optimum patterning solution for the many diverse structures used in cutting-edge semiconductor manufacturing.

    Delivers optimal on-product overlay performance with advanced compensation for wafer warpage and distortions

    The NSR-S636E ArF immersion scanner utilizes an enhanced inline Alignment Station (iAS) that performs sophisticated wafer multipoint measurement before exposure. This innovative system delivers next-level overlay accuracy using high-precision measurement and extensive wafer warpage and distortion correction capabilities, all while maintaining maximum scanner throughput. Advanced measurement and compensation capabilities improve process robustness and provide exceptional performance and productivity across diverse production processes including 3D-ICs where wafer deformation can easily occur.

    1.35 NA lens with sophisticated thermal aberration control enhances CD uniformity

    The NSR-S636E incorporates a 1.35 numerical aperture lens, and advanced lens materials are utilized to reduce thermal absorption, as well as to ensure that aberration and local flare levels are extremely low. Coupled with the advanced Straight Line Autofocus system, the S636E delivers optimal CD uniformity control for advanced processes.

    Maximizes overall scanner productivity and enables ultra-high throughput up to 280 wafers per hour

    In addition, through comprehensive improvements in throughput and daily productivity optimization, the NSR-S636E ArF immersion scanner boosts overall scanner output by 10-15% compared to current models. This is the highest level of productivity across the entire history of Nikon semiconductor lithography systems and enables optimized efficiency in cutting-edge semiconductor device production.

    Nikon continues to provide invaluable solutions like the NSR-S636E for advanced IC production and to support the development of our digital society. We are committed to continuing to push the limits of photolithography through industry-leading solutions such as the S636E to support our customers’ manufacturing objectives for many years to come.

    Basic Specifications

    Wavelength (nm) 193
    Lens-NA 1.35
    Exposure Area (mm) 26 x 33
    Reduction Ratio 1/4
    Resolution (nm) ≤ 38
    Mix-and-Match Overlay (nm) ≤ 2.1
    Throughput:
    300 mm (96 exp fields) ≥ 280
    Wafer Size (mm) 300
  • Litho Booster
    Key Benefits: Standalone Alignment Station maximizes productivity and yield. Delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab....

  • Standalone Alignment Station maximizes productivity and yield
    The Nikon Litho Booster Standalone Alignment Station brings inline Alignment Station (iAS) capabilities to other scanners in the fab. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Whereas traditional process loops rely on feed back control, Litho Booster adds sophisticated feed forward correction capabilities. Litho Booster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order Litho Booster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.

    Delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab
    Litho Booster has great flexibility within the process control loop, and can be used with many generations of Nikon systems including S635E through S620D immersion scanners, S322F to S310F ArF scanners, S220D and S210D KrF scanners, and even SF155 steppers; as well as non-Nikon scanners. Depending on individual device manufacturer’s objectives, one Litho Booster system may be shared amongst multiple litho tools for less critical layers, or paired with a litho tool in critical applications, or multiple Litho Boosters could support a single litho tool to enable super-dense sampling for ultra-critical process layers.

    Utilizes absolute grid information to ensure optimal wafer/shot grid modeling
    Litho Booster maximizes productivity through iterative learning and feed back of grid results. Using dense measurements on the product wafer coupled with the absolute grid information from Litho Booster, the optimal grid model is determined. Various alignment modes are possible such as Standard, Plus Edge Dense (increased edge sites), Scrambled, and Plus Intra-shot (increased sites within-shot). This enables adaptability for a variety of types of wafer grid error. In addition, there are a number of correction modes including Linear, High-order Global, Local Area, and Die-by-Die correction methods that compensate for different types of wafer deformation.

    Litho Booster has demonstrated exceptional on-product overlay (OPO) improvement capabilities. A study of wafers having four types of on-product underlayer wafer distortion signatures was evaluated using a traditional, sparse, 16 points/wafer alignment sampling plan, which demonstrated overlay Avg. + 3σ results across lot of x=2.85 and y=2.51 nm. The results were markedly improved to x=1.85 and y=1.63 nm using a dense 626 point Litho Booster sampling plan.

    Minimizes wafer processing effects with mark asymmetry detection
    Nikon is currently enhancing Litho Booster on-product overlay correction capabilities through the introduction of new functions enabling monitoring and compensation for process-induced mark fluctuations and asymmetry to further improve OPO performance. 

    Provides open platform for addition of further overlay, autofocus, and process control solutions
    Litho Booster also provides an open platform for expanded overlay, autofocus, and process control solutions to enhance future capabilities. Nikon combines superior scanner technology with innovative alignment solutions like Litho Booster to deliver exceptional manufacturing performance and productivity—now and for the future.

Categories


Send Email

Type your information and click "Send Email" to send an email to this exhibitor. To return to the previous screen without saving, click "Reset".